Part Number Hot Search : 
BR200 7WU04 31BZT LC420W AE05009 7C34098A 25260 S0309
Product Description
Full Text Search
 

To Download LFE2-12SE-5QN208I Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  latticeecp2/m family data sheet ds1006 version 03.4, january 2009
www.latticesemi.com 1-1 ds1006 introduction_01.7 june 2008 data sheet ds1006 ?2007 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the speci?ations and information herein are subject to change without notice. features high logic density for system integration 6k to 95k luts 90 to 583 i/os embedded serdes (latticeecp2m only) data rates 250 mbps to 3.125 gbps up to 16 channels per device pci express, ethernet (1gbe, sgmii), obsai, cpri and serial rapidio. sysdsp block 3 to 42 blocks for high performance multiply and accumulate each block supports one 36x36, four 18x18 or eight 9x9 multipliers flexible memory resources 55kbits to 5308kbits sysmem embedded block ram (ebr) 18kbit block single, pseudo dual and true dual port byte enable mode support 12k to 202kbits distributed ram single port and pseudo dual port sysclock analog plls and dlls two gplls and up to six splls per device clock multiply, divide, phase & delay adjust dynamic pll adjustment two general purpose dlls per device pre-engineered source synchronous i/o ddr registers in i/o cells dedicated gearing logic source synchronous standards support spi4.2, sfi4 (ddr mode), xgmii high speed adc/dac devices dedicated ddr and ddr2 memory support ddr1: 400 (200mhz) / ddr2: 533 (266mhz) dedicated dqs support programmable sysi/o buffer supports wide range of interfaces lvttl and lvcmos 33/25/18/15/12 sstl 3/2/18 i, ii hstl15 i and hstl18 i, ii pci and differential hstl, sstl lvds, rsds, bus-lvds, mlvds, lvpecl flexible device con?uration 1149.1 boundary scan compliant dedicated bank for con?uration i/os spi boot ?sh interface dual boot images supported transfr i/o for simple ?ld updates soft error detect macro embedded optional bitstream encryption (latticeecp2/m ? versions only) system level support isptracy internal logic analyzer capability on-chip oscillator for initialization & general use 1.2v power supply table 1-1. latticeecp2 (including ?-series? family selection device ecp2-6 ecp2-12 ecp2-20 ecp2-35 ecp2-50 ecp2-70 luts (k) 6 12 21 32 48 68 distributed ram (kbits) 12 24 42 64 96 136 ebr sram (kbits) 55 221 276 332 387 1032 ebr sram blocks 3 12 15 18 21 60 sysdsp blocks 36781822 18x18 multipliers 12 24 28 32 72 88 gpll + spll + dll 2+0+2 2+0+2 2+0+2 2+0+2 2+2+2 2+4+2 maximum available i/o 190 297 402 450 500 583 packages and i/o combinations 144-pin tqfp (20 x 20 mm) 90 93 208-pin pqfp (28 x 28 mm) 131 131 256-ball fpbga (17 x 17 mm) 190 193 193 484-ball fpbga (23 x 23 mm) 297 331 331 339 672-ball fpbga (27 x 27 mm) 402 450 500 500 900-ball fpbga (31 x 31 mm) 583 latticeecp2/m family data sheet introduction
1-2 introduction lattice semiconductor latticeecp2/m family data sheet table 1-2. latticeecp2m (including ?-series? family selection introduction the latticeecp2/m family of fpga devices is optimized to deliver high performance features such as advanced dsp blocks, high speed serdes (latticeecp2m family only) and high speed source synchronous interfaces in an economical fpga fabric. this combination was achieved through advances in device architecture and the use of 90nm technology. the latticeecp2/m fpga fabric is optimized with high performance and low cost in mind. the latticeecp2/m devices include lut-based logic, distributed and embedded memory, phase locked loops (plls), delay locked loops (dlls), pre-engineered source synchronous i/o support, enhanced sysdsp blocks and advanced con?u- ration support, including encryption (? versions only) and dual boot capabilities. the latticeecp2m device family features high speed serdes with pcs. these high jitter tolerance and low trans- mission jitter serdes with pcs blocks can be con?ured to support an array of popular data protocols including pci express, ethernet (1gbe and sgmii), obsai and cpri. transmit pre-emphasis and receive equalization settings make serdes suitable for chip to chip and small form factor backplane applications. the isplever design tool suite from lattice allows large complex designs to be ef?iently implemented using the latticeecp2/m fpga family. synthesis library support for latticeecp2/m is available for popular logic synthesis tools. the isplever tool uses the synthesis tool output along with the constraints from its ?or planning tools to place and route the design in the latticeecp2/m device. the isplever tool extracts the timing from the routing and back-annotates it into the design for timing veri?ation. lattice provides many pre-engineered ip (intellectual property) isplevercore modules for the latticeecp2/m family. by using these ip cores as standardized blocks, designers are free to concentrate on the unique aspects of their design, increasing their productivity. device ecp2m20 ecp2m35 ecp2m50 ecp2m70 ecp2m100 luts (k) 19 34 48 67 95 sysmem blocks (18kb) 66 114 225 246 288 embedded memory (kbits) 1217 2101 4147 4534 5308 distributed memory (kbits) 41 71 101 145 202 sysdsp blocks 6 8 22 24 42 18x18 multipliers 24 32 88 96 168 gpll+spll+dll 2+6+2 2+6+2 2+6+2 2+6+2 2+6+2 maximum available i/o 304 410 410 436 520 packages and serdes / i/o combinations 256-ball fpbga (17 x 17 mm) 4 / 140 4 / 140 484-ball fpbga (23 x 23 mm) 4 / 304 4 / 303 4 / 270 672-ball fpbga (27 x 27 mm) 4 / 410 8 / 372 900-ball fpbga (31 x 31 mm) 8 / 410 16 / 416 16 / 416 1152-ball fpbga (35 x 35 mm) 16 / 436 16 / 520
www.latticesemi.com 2-1 ds1006 architecture_01.9 august 2008 data sheet ds1006 ?2008 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the speci?ations and information herein are subject to change without notice. architecture overview each latticeecp2/m device contains an array of logic blocks surrounded by programmable i/o cells (pic). inter- spersed between the rows of logic blocks are rows of sysmem embedded block ram (ebr) and rows of sys- dsp digital signal processing blocks, as shown in figure 2-1. in addition, the latticeecp2m family contains serdes quads in one or more of the corners. figure 2-2 shows the block diagram of ecp2m20 with one quad. there are two kinds of logic blocks, the programmable functional unit (pfu) and programmable functional unit without ram (pff). the pfu contains the building blocks for logic, arithmetic, ram and rom functions. the pff block contains building blocks for logic, arithmetic and rom functions. both pfu and pff blocks are optimized for ?xibility, allowing complex designs to be implemented quickly and ef?iently. logic blocks are arranged in a two- dimensional array. only one type of block is used per row. the latticeecp2/m devices contain one or more rows of sysmem ebr blocks. sysmem ebrs are large dedicated 18k fast memory blocks. each sysmem block can be con?ured in a variety of depths and widths of ram or rom. in addition, latticeecp2/m devices contain up to two rows of dsp blocks. each dsp block has multipliers and adder/accumulators, which are the building blocks for complex signal processing capabilities. the latticeecp2m devices feature up to 16 embedded 3.125gbps serdes (serializer / deserializer) channels. each serdes channel contains independent 8b/10b encoding / decoding, polarity adjust and elastic buffer logic. each group of four serdes channels along with its physical coding sub-layer (pcs) block, creates a quad. the functionality of the serdes/pcs quads can be controlled by memory cells set during device con?uration or by registers that are addressable during device operation. the registers in every quad can be programmed by a soft ip interface, referred to as the serdes client interface (sci). these quads (up to four) are located at the corners of the devices. each pic block encompasses two pios (pio pairs) with their respective sysi/o buffers. the sysi/o buffers of the latticeecp2/m devices are arranged in eight banks, allowing the implementation of a wide variety of i/o standards. in addition, a separate i/o bank is provided for the programming interfaces. pio pairs on the left and right edges of the device can be con?ured as lvds transmit/receive pairs. the pic logic also includes pre-engineered support to aid in the implementation of high speed source synchronous standards such as spi4.2, along with memory interfaces including ddr2. other blocks provided include plls, dlls and con?uration functions. the latticeecp2/m architecture provides two general plls (gpll) and up to six standard plls (spll) per device. in addition, each latticeecp2/m family member provides two dlls per device. the gplls and dlls blocks are located in pairs at the end of the bottom- most ebr row; the dll block is located towards the edge of the device. the spll blocks are located at the end of the other ebr/dsp rows. the con?uration block that supports features such as con?uration bit-stream decryption, transparent updates and dual boot support is located toward the center of this ebr row. the ball grid array (bga) package devices in the latticeecp2/m family supports a sysconfig port located in the corner between banks four and ?e, which allows for serial or parallel device con?uration. in addition, every device in the family has a jtag port. this family also provides an on-chip oscillator and soft error detect capability. the latticeecp2/m devices use 1.2v as their core voltage. latticeecp2/m family data sheet architecture
2-2 architecture lattice semiconductor latticeecp2/m family data sheet figure 2-1. simpli?d block diagram, ecp2-6 device (top level) figure 2-2. simpli?d block diagram, ecp2m20 device (top level) programmable function units (pfus) flexible sysio buffers: lvcmos, hstl, sstl, lvds, and other standards sysdsp blocks multiply and accumulate support sysmem block ram 18kbit dual port sysclock plls and dlls frequency synthesis and clock alignment flexible routing optimized for speed, cost and routability configuration logic, including dual boot and encryption. on-chip oscillator and soft-error detection. configuration port pre-engineered source synchronous support ?ddr1/2 ?spi4.2 ?adc/dac devices flexi b le sysio b u ffers: l v cmos, hstl sstl, l v ds pre-engineered so u rce synchrono u s s u pport ?ddr1/2 ?spi4.2 ?adc/dac de v ices serdes dsp blocks m u ltiply & acc u m u late s u pport on-chip oscillator programma b le f u nction units (pfus) channel 3 channel 2 channel 1 channel 0 sysmem block ram 1 8 k b it d u al port config u ration logic, incl u ding d u al b oot and encryption, and soft-error detection flexi b le ro u ting optimized for speed, cost & ro u ta b ility sysclock gplls & gdlls fre qu ency synthesis & clock alignment config u ration port sysclock splls
2-3 architecture lattice semiconductor latticeecp2/m family data sheet pfu blocks the core of the latticeecp2/m device consists of pfu blocks, which are provided in two forms, the pfu and pff. the pfus can be programmed to perform logic, arithmetic, distributed ram and distributed rom functions. pff blocks can be programmed to perform logic, arithmetic and rom functions. except where necessary, the remain- der of this data sheet will use the term pfu to refer to both pfu and pff blocks. each pfu block consists of four interconnected slices, numbered 0-3 as shown in figure 2-3. all the interconnec- tions to and from pfu blocks are from routing. there are 50 inputs and 23 outputs associated with each pfu block. figure 2-3. pfu diagram slice slice 0 through slice 2 contain two lut4s feeding two registers, whereas slice 3 contains two lut4s only. for pfus, slice 0 and slice 2 can also be con?ured as distributed memory, a capability not available in the pff. table 2-1 shows the capability of the slices in both pff and pfu blocks along with the operation modes they enable. in addition, each pfu contains some logic that allows the luts to be combined to perform functions such as lut5, lut6, lut7 and lut8. there is control logic to perform set/reset functions (programmable as synchro- nous/asynchronous), clock select, chip-select and wider ram/rom functions. figure 2-4 shows an overview of the internal logic of the slice. the registers in the slice can be con?ured for positive/negative and edge triggered or level sensitive clocks. table 2-1. resources and modes available per slice slices 0, 1 and 2 have 14 input signals: 13 signals from routing and one from the carry-chain (from the adjacent slice or pfu). there are seven outputs: six to routing and one to carry-chain (to the adjacent pfu). slice 3 has 13 input signals from routing and four signals to routing. table 2-2 lists the signals associated with slice 0 to slice 2. slice pfu block pff block resources modes resources modes slice 0 2 lut4s and 2 registers logic, ripple, ram, rom 2 lut4s and 2 registers logic, ripple, rom slice 1 2 lut4s and 2 registers logic, ripple, rom 2 lut4s and 2 registers logic, ripple, rom slice 2 2 lut4s and 2 registers logic, ripple, ram, rom 2 lut4s and 2 registers logic, ripple, rom slice 3 2 lut4s logic, rom 2 lut4s logic, rom slice 0 lut4 & carry lut4 & carry d d slice 1 lut4 & carry lut4 & carry slice 2 lut4 & carry lut4 & carry from routing to routing slice 3 lut4 lut4 d d d d ff ff ff ff ff ff
2-4 architecture lattice semiconductor latticeecp2/m family data sheet figure 2-4. slice diagram table 2-2. slice signal descriptions function type signal names description input data signal a0, b0, c0, d0 inputs to lut4 input data signal a1, b1, c1, d1 inputs to lut4 input multi-purpose m0 multipurpose input input multi-purpose m1 multipurpose input input control signal ce clock enable input control signal lsr local set/reset input control signal clk system clock input inter-pfu signal fc fast carry-in 1 input inter-slice signal fxa intermediate signal to generate lut6 and lut7 input inter-slice signal fxb intermediate signal to generate lut6 and lut7 output data signals f0, f1 lut4 output register bypass signals output data signals q0, q1 register outputs output data signals ofx0 output of a lut5 mux output data signals ofx1 output of a lut6, lut7, lut8 2 mux depending on the slice output inter-pfu signal fco slice 2 of each pfu is the fast carry chain output 1 1. see figure 2-4 for connection details. 2. requires two pfus. lut4 & carry* lut4 & carry* slice a0 c0 d0 ff* ofx0 f0 q0 a1 b1 c1 d1 ci ci co co ce clk lsr ff* ofx1 f1 q1 f/sum f/sum d d m1 fci from different slice/pfu fco to different slice/pfu lut5 m u x m0 from routin g to routin g fxb fxa b0 for slices 0 and 2, memory control signals are generated from slice 1 as follo w s: wck is clk wre is from lsr di[3:2] for slice 2 and di[1:0] for slice 0 data wad [a:d] is a 4 b it address from slice 1 lut inp u t * n ot in slice 3
2-5 architecture lattice semiconductor latticeecp2/m family data sheet modes of operation each slice has up to four potential modes of operation: logic, ripple, ram and rom. logic mode in this mode, the luts in each slice are con?ured as 4-input combinatorial lookup tables. a lut4 can have 16 possible input combinations. any four input logic functions can be generated by programming this lookup table. since there are two lut4s per slice, a lut5 can be constructed within one slice. larger look-up tables such as lut6, lut7 and lut8 can be constructed by concatenating other slices. note lut8 requires more than four slices. ripple mode ripple mode supports the ef?ient implementation of small arithmetic functions. in ripple mode, the following func- tions can be implemented by each slice: addition 2-bit subtraction 2-bit add/subtract 2-bit using dynamic control up counter 2-bit down counter 2-bit up/down counter with async clear up/down counter with preload (sync) ripple mode multiplier building block multiplier support comparator functions of a and b inputs a greater-than-or-equal-to b a not-equal-to b a less-than-or-equal-to b ripple mode includes an optional con?uration that performs arithmetic using fast carry chain methods. in this con- ?uration (also referred to as ccu2 mode) two additional signals, carry generate and carry propagate, are gener- ated on a per slice basis to allow fast arithmetic functions to be constructed by concatenating slices. ram mode in this mode, a 16x4-bit distributed single port ram (spr) can be constructed using each lut block in slice 0 and slice 2 as a 16x1-bit memory. slice 1 is used to provide memory address and control signals. a 16x2-bit pseudo dual port ram (pdpr) memory is created by using one slice as the read-write port and the other companion slice as the read-only port. the lattice design tools support the creation of a variety of different size memories. w here appropriate, the soft- ware will construct these using distributed memory primitives that represent the capabilities of the pfu. table 2-3 shows the number of slices required to implement different distributed ram primitives. for more information about using ram in latticeecp2/m devices, please see the list of additional technical documentation at the end of this data sheet. table 2-3. number of slices required to implement distributed ram spr 16x4 pdpr 16x4 number of slices 3 3 note: spr = single port ram, pdpr = pseudo dual port ram
2-6 architecture lattice semiconductor latticeecp2/m family data sheet rom mode rom mode uses the lut logic; hence, slices 0 through 3 can be used in rom mode. preloading is accomplished through the programming interface during pfu con?uration. routing there are many resources provided in the latticeecp2/m devices to route signals individually or as busses with related control signals. the routing resources consist of switching circuitry, buffers and metal interconnect (routing) segments. the inter-pfu connections are made with x1 (spans two pfu), x2 (spans three pfu) and x6 (spans seven pfu). the x1 and x2 connections provide fast and ef?ient connections in horizontal and vertical directions. the x2 and x6 resources are buffered, allowing the routing of both short and long connections between pfus. the latticeecp2/m family has an enhanced routing architecture that produces a compact design. the isplever design tool suite takes the output of the synthesis tool and places and routes the design. generally, the place and route tool is completely automatic, although an interactive routing editor is available to optimize the design. sysclock phase locked loops (gpll/spll) the sysclock plls provide the ability to synthesize clock frequencies. all the devices in the latticeecp2/m fam- ily support two general purpose plls (gplls) which are full-featured plls. in addition, some of the larger devices have two to six standard plls (splls) that have a subset of gpll functionality. general purpose pll (gpll) the architecture of the gpll is shown in figure 2-5. a description of the gpll functionality follows. clki is the reference frequency (generated either from the pin or from routing) for the pll. clki feeds into the input clock divider block. the clkfb is the feedback signal (generated from clkop or from a user clock pin/ logic). this signal feeds into the feedback divider. the feedback divider is used to multiply the reference fre- quency. the delay adjust block adjusts either the delays of the reference or feedback signals. the delay adjust block can either be programmed during con?uration or can be adjusted dynamically. the setup, hold or clock-to-out times of the device can be improved by programming a delay in the feedback or input path of the pll, which will advance or delay the output clock with reference to the input clock. following the delay adjust block, both the input path and feedback signals enter the voltage controlled oscillator (vco) block. in this block the difference between the input path and feedback signals is used to control the fre- quency and phase of the oscillator. a lock signal is generated by the vco to indicate that the vco has locked onto the input clock signal. in dynamic mode, the pll may lose lock after a dynamic delay adjustment and not relock until the t lock parameter has been satis?d. latticeecp2/m devices have two dedicated pins on the left and right edges of the device for connecting optional external capacitors to the vco. this allows the plls to operate at a lower frequency. this is a shared resource that can only be used by one pll (gpll or spll) per side. the output of the vco then enters the post-scalar divider. the post-scalar divider allows the vco to operate at higher frequencies than the clock output (clkop), thereby increasing the frequency range. a secondary divider takes the clkop signal and uses it to derive lower frequency outputs (clkok). the phase/duty select block adjusts the phase and duty cycle of the clkop signal and generates the clkos signal. the phase/duty cycle set- ting can be pre-programmed or dynamically adjusted. the primary output from the post scalar divider clkop along with the outputs from the secondary divider (clkok) and phase/duty select (clkos) are fed to the clock distribution network.
2-7 architecture lattice semiconductor latticeecp2/m family data sheet figure 2-5. general purpose pll (gpll) diagram standard pll (spll) some of the larger devices have two to six standard plls (splls). splls have the same features as gplls but without delay adjustment capability. splls also provide different parametric speci?ations. for more information, please see the list of additional technical documentation at the end of this data sheet. table 2-4 provides a description of the signals in the gpll and spll blocks. table 2-4. gpll and spll blocks signal descriptions signal i/o description clki i clock input from external pin or routing clkfb i pll feedback input from clkop (pll internal), from clock net (clkop) or from a user clock (pin or logic) rst i ? to reset pll counters, vco, charge pumps and m-dividers rstk i ? to reset k-divider clkos o pll output clock to clock tree (phase shifted/duty cycle changed) clkop o pll output clock to clock tree (no phase shift) clkok o pll output to clock tree through secondary clock divider lock o ? indicates pll lock to clki ddamode 1 i dynamic delay enable. ?? pin control (dynamic), ?? fuse control (static) ddaizr 1 i dynamic delay zero. ?? delay = 0, ?? delay = on ddailag 1 i dynamic delay lag/lead. ?? lead, ?? lag ddaidel[2:0] 1 i dynamic delay input dpa modes i dpa (dynamic phase adjust/duty cycle select) mode dphase [3:0] i dpa phase adjust inputs ddduty [3:0] dpa duty cycle select inputs 1. these signals are not available in spll. inp u t clock di v ider (clki) feed b ack di v ider (clkfb) delay adj u st v oltage controlled oscillator post scalar di v ider (clkop) phase/d u ty select secondary di v ider (clkok) clkos clkok clkop lock clkfb clki rst dynamic delay adj u stment (from ro u ting or external pin) from clkop (pll internal), from clock net(clkop) or from a u ser clock (pin or logic) dynamic adj u stment pllcap external pin (optional external capacitor) rstk
2-8 architecture lattice semiconductor latticeecp2/m family data sheet delay locked loops (dll) in addition to plls, the latticeecp2/m family of devices has two dlls per device. clki is the input frequency (generated either from the pin or routing) for the dll. clki feeds into the output muxes block to bypass the dll, directly to the delay chain block and (directly or through divider circuit) to the reference input of the phase frequency detector (pfd) input mux. the reference signal for the pfd can also be generated from the delay chain and clkfb signals. the feedback input to the pfd is generated from the clkfb pin, clki or from tapped signal from the delay chain. the pfd produces a binary number proportional to the phase and frequency difference between the reference and feedback signals. this binary output of the pfd is fed into a arithmetic logic unit (alu). based on these inputs, the alu determines the correct digital control codes to send to the delay chain in order to better match the refer- ence and feedback signals. this digital code from the alu is also transmitted via the digital control bus (dcntl) bus to its associated dlldela delay block. the aluhold input allows the user to suspend the alu output at its current value. the uddcntl signal allows the user to latch the current value on the dcntl bus. the dll has two independent clock outputs, clkop and clkos. these outputs can individually select one of the outputs from the tapped delay line. the clkos has optional ?e phase shift and divider blocks to allow this output to be further modi?d, if required. the ?e phase shift block allows the clkos output to phase shifted a further 45, 22.5 or 11.25 degrees relative to its normal position. both the clkos and clkop outputs are available with optional duty cycle correction. divide by two and divide by four frequencies are available at clkos. the lock out- put signal is asserted when the dll is locked. figure 2-6 shows the dll block diagram and table 2-5 provides a description of the dll inputs and outputs. the user can con?ure the dll for many common functions such as time reference delay mode and clock injection removal mode. lattice provides primitives in its design tools for these functions. for more information about the dll, please see the list of additional technical documentation at the end of this data sheet. figure 2-6. delay locked loop diagram (dll) clkop clkos lock clkfb clki aluhold dc n tl uddc n tl phase frequency detector delay3 delay2 delay1 delay0 delay4 reference feed b ack 9 ? ? ? ? rst n (from ro u ting or external pin) from clkop (dll internal), from clock net (clkop) or from a u ser clock (pin or logic) arithmetic lo g ic unit lock detect di g ital control output delay chain output muxes duty cycle 50% duty cycle 50%
2-9 architecture lattice semiconductor latticeecp2/m family data sheet table 2-5. dll signals dlldela delay block closely associated with each dll is a dlldela block. this is a delay block consisting of a delay line with taps and a selection scheme that selects one of the taps. the dcntl[8:0] bus controls the delay of the clko signal. typi- cally this is the delay setting that the dll uses to achieve phase alignment. this results in the delay providing a cal- ibrated 90?phase shift that is useful in centering a clock in the middle of a data cycle for source synchronous data. the clko signal feeds the edge clock network. figure 2-7 shows the connections between the dll block and the dlldela delay block. for more information, please see the list of additional technical documentation at the end of this data sheet. figure 2-7. dlldela delay block pll/dll cascading latticeecp2/m devices have been designed to allow certain combinations of pll (gpll and spll) and dll cas- cading. the allowable combinations are: pll to pll supported pll to dll supported signal i/o description clki i clock input from external pin or routing clkfb i dll feed input from dll output, clock net, routing or external pin rstn i active low synchronous reset aluhold i active high freezes the alu uddcntl i synchronous enable signal (hold high for two cycles) from routing dcntl[8:0] o encoded digital control signals for pic indel and slave delay calibration clkop o the primary clock output clkos o the secondary clock output with ?e phase shift and/or division by 2 or by 4 lock o active high phase lock indicator dll block clkop clkos lock clko clki clkfb clki dlldela delay block pll_pio dll_pio ro u ting ro u ting clkfb_ck eclk1 clkop gdllfb_pio dc n tl[ 8 :0] * * * * soft w are selecta b le
2-10 architecture lattice semiconductor latticeecp2/m family data sheet the dlls in the latticeecp2/m are used to shift the clock in relation to the data for source synchronous inputs. plls are used for frequency synthesis and clock generation for source synchronous interfaces. cascading pll and dll blocks allows applications to utilize the unique bene?s of both dlls and plls. for further information about the dll, please see the list of additional technical documentation at the end of this data sheet. gpll/spll/gdll pio input pin connections (latticeecp2m family only) all latticeecp2m devices contain two gdlls, two gplls and six splls, arranged in quadrants as shown in figure 2-8. in the latticeecp2m devices gplls, splls and gdlls share their input pins. figure 2-8 shows the sharing of splls input pin connections in the upper two quadrants and the sharing of gdll, gpll and spll input pin connections in the lower two quadrants. figure 2-8. sharing of pio pins by gpll, spll and gdll in latticeecp2m devices clock dividers latticeecp2/m devices have two clock dividers, one on the left side and one on the right side of the device. these are intended to generate a slower-speed system clock from a high-speed edge clock. the block operates in a ?, ? or ? mode and maintains a known phase relationship between the divided down clock and the high-speed clock based on the release of its reset signal. the clock dividers can be fed from selected pll/dll outputs, dll- dela delay blocks, routing or from an external clock input. the clock divider outputs serve as primary clock sources and feed into the clock distribution network. the reset (rst) control signal resets input and synchro- nously forces all outputs to low. the release signal releases outputs synchronously to the input clock. for further information about clock dividers, please see the list of additional technical documentation at the end of this data sheet. figure 2-9 shows the clock divider connections. spll spll gpll gdll spll spll_pio spll_pio gpll_pio gdll_pio spll_pio spll spll gpll gdll spll spll_pio spll_pio gpll_pio gdll_pio spll_pio upper left q u adrant lo w er left q u adrant upper right q u adrant lo w er right q u adrant
2-11 architecture lattice semiconductor latticeecp2/m family data sheet figure 2-9. clock divider connections clock distribution network latticeecp2/m devices have eight quadrant-based primary clocks and eight ?xible region-based secondary clocks/control signals. two high performance edge clocks are available on each edge of the device to support high speed interfaces. these clock inputs are selected from external i/os, the sysclock plls, dlls or routing. these clock inputs are fed throughout the chip via a clock distribution system. primary clock sources latticeecp2/m devices derive clocks from ?e primary sources: pll (gpll and spll) outputs, dll outputs, clkdiv outputs, dedicated clock inputs and routing. latticeecp2/m devices have two to eight sysclock plls and two dlls, located on the left and right sides of the device. there are eight dedicated clock inputs, two on each side of the device, with the exception of the latticeecp2m 256-fpbga package devices which have six dedicated clock inputs on the device. figure 2-10 shows the primary clock sources. rst release ? ? ? 8 clko clkop (gpll) clkop (dll) ro u ting pll pad clkos (gpll) clkos (dll) clkdiv
2-12 architecture lattice semiconductor latticeecp2/m family data sheet figure 2-10. primary clock sources for ecp2-50 primary clock sources to ei g ht quadrant clock selection from ro u ting from ro u ting spll gpll dll pll inp u t pll inp u t dll inp u t n ote: this diagram sho w s so u rces for the ecp2-50 de v ice. smaller latticeecp2 de v ices ha v e fe w er splls. all latticeecp2m de v ic e ha v e six splls. clk div clock inp u t clock inp u t pll inp u t pll inp u t dll inp u t clock inp u t clock inp u t clock inp u t clock inp u t clock inp u t clock inp u t spll gpll dll clk div
2-13 architecture lattice semiconductor latticeecp2/m family data sheet secondary clock/control sources latticeecp2/m devices derive secondary clocks (sc0 through sc7) from eight dedicated clock input pads and the rest from routing. figure 2-11 shows the secondary clock sources. figure 2-11. secondary clock sources secondary clock sources from routing from routing from routing from routing from routing from routing from routing from routing from routing from routing clock inp u t clock inp u t clock inp u t clock inp u t clock inp u t clock inp u t from routing from routing from routing from routing clock inp u t clock inp u t from routing from routing
2-14 architecture lattice semiconductor latticeecp2/m family data sheet edge clock sources edge clock resources can be driven from a variety of sources at the same edge. edge clock resources can be driven from adjacent edge clock pios, primary clock pios, plls/dlls and clock dividers as shown in figure 2-12. figure 2-12. edge clock sources ei g ht ed g e clocks (eclk) two clocks per ed g e so u rces for b ottom edge clocks so u rces for right edge clocks clock inp u t clock inp u t from ro u ting from ro u ting from ro u ting from ro u ting from ro u ting clock inp u t clock inp u t clock inp u t clock inp u t from ro u ting from ro u ting clock inp u t clock inp u t from ro u ting so u rces for left edge clocks so u rces for top edge clocks dll inp u t pll inp u t dll inp u t pll inp u t dlldela dll gpll dll gpll dlldela
2-15 architecture lattice semiconductor latticeecp2/m family data sheet primary clock routing the clock routing structure in latticeecp2/m devices consists of a network of eight primary clock lines (clk0 through clk7) per quadrant. the primary clocks of each quadrant are generated from muxes located in the center of the device. all the clock sources are connected to these muxes. figure 2-13 shows the clock routing for one quadrant. each quadrant mux is identical. if desired, any clock can be routed globally figure 2-13. per quadrant primary clock selection dynamic clock select (dcs) the dcs is a smart multiplexer function available in the primary clock routing. it switches between two independent input clock sources without any glitches or runt pulses. this is achieved regardless of when the select signal is tog- gled. there are two dcs blocks per quadrant; in total, there are eight dcs blocks per device. the inputs to the dcs block come from the center muxes. the output of the dcs is connected to primary clocks clk6 and clk7 (see figure 2-13). figure 2-14 shows the timing waveforms of the default dcs operating mode. the dcs block can be programmed to other modes. for more information about the dcs, please see the list of additional technical documentation at the end of this data sheet. figure 2-14. dcs waveforms secondary clock/control routing secondary clocks in the latticeecp2 devices are region-based resources. the bene? of region-based resources is the relatively low injection delay and skew within the region, as compared to primary clocks. ebr/dsp rows and a special vertical routing channel bound the secondary clock regions. this special vertical routing channel aligns with either the left edge of the center dsp block in the dsp row or the center of the dsp row. figure 2-15 shows clk0 clk1 clk2 clk3 clk4 clk5 clk6 clk7 35:1 35:1 35:1 35:1 32:1 32:1 32:1 32:1 35:1 35:1 8 primary clocks (clk0 to clk7) per q u adrant dcs dcs primary clock so u rces: plls + dlls + clkdi v s + pios + ro u ting clk0 sel dcsout clk1
2-16 architecture lattice semiconductor latticeecp2/m family data sheet this special vertical routing channel and the eight secondary clock regions for the ecp2-50. latticeecp2 devices have four secondary clocks (sc0 to sc3) which are distrubed to every region. the secondary clock muxes are located in the center of the device. figure 2-16 shows the mux structure of the secondary clock routing. secondary clocks sc0 to sc3 are used for clock and control and sc4 to sc7 are used for high fan-out signals. figure 2-15. secondary clock regions ecp2-50 i/o bank 0 i/o bank 1 i/o bank 6 i/o bank 7 i/o bank 2 i/o bank 3 i/o bank 5 i/o bank 4 secondary clock region 1 secondary clock region 2 secondary clock region 3 secondary clock region 4 secondary clock region 5 secondary clock region 6 secondary clock region 7 secondary clock region 8 v ertical ro u ting channel regional bo u ndary ebr ro w regional bo u ndary dsp ro w regional bo u ndary dsp ro w regional bo u ndary bank 8
2-17 architecture lattice semiconductor latticeecp2/m family data sheet figure 2-16. secondary clock selection slice clock selection figure 2-17 shows the clock selections and figure 2-18 shows the control selections for slice0 through slice2. all the primary clocks and the four secondary clocks are routed to this clock selection mux. other signals can be used as a clock input to the slices via routing. slice controls are generated from the secondary clocks or other signals connected via routing. if none of the signals are selected for both clock and control then the default value of the mux output is 1. slice 3 does not have any registers; therefore it does not have the clock or control muxes. figure 2-17. slice0 through slice2 clock selection sc0 sc1 sc2 sc3 sc4 sc5 24:1 24:1 24:1 sc6 sc7 24:1 24:1 24:1 24:1 24:1 4 secondary clocks/ce/lsr (sc0 to sc3) per region clock/control secondary clock feedlines: 8 pios + 16 ro u ting high fan-o u t data 4 high fan-o u t data signals (sc4 to sc7) per region clock to slice primary clock secondary clock ro u ting v cc 8 4 12 1 25:1
2-18 architecture lattice semiconductor latticeecp2/m family data sheet figure 2-18. slice0 through slice2 control selection edge clock routing latticeecp2/m devices have a number of high-speed edge clocks that are intended for use with the pios in the implementation of high-speed interfaces. there are eight edge clocks per device: two edge clocks per edge. differ- ent pll and dll outputs are routed to the two muxes on the left and right sides of the device. in addition, the clko signal (generated from the dlldela block) is routed to all the edge clock muxes on the left and right sides of the device. figure 2-19 shows the selection muxes for these clocks. figure 2-19. edge clock mux connections slice control secondary clock ro u ting v cc 3 12 1 16:1 left and right edge clocks eclk1 top and bottom edge clocks eclk1/ eclk2 clock inp u t pad ro u ting ro u ting inp u t pad gpll inp u t pad dll o u tp u t clkop gpll o u tp u t clkop clko left and right edge clocks eclk2 ro u ting inp u t pad gpll inp u t pad dll o u tp u t clkos gpll o u tp u t clkos clko (both m u x)
2-19 architecture lattice semiconductor latticeecp2/m family data sheet sysmem memory latticeecp2/m devices contains a number of sysmem embedded block ram (ebr). the ebr consists of an 18- kbit ram with dedicated input and output registers. sysmem memory block the sysmem block can implement single port, dual port or pseudo dual port memories. each block can be used in a variety of depths and widths as shown in table 2-6. fifos can be implemented in sysmem ebr blocks by imple- menting support logic with pfus. the ebr block facilitates parity checking by supporting an optional parity bit for each data byte. ebr blocks provide byte-enable support for con?urations with18-bit and 36-bit data widths. table 2-6. sysmem block con?urations bus size matching all of the multi-port memory modes support different widths on each of the ports. the ram bits are mapped lsb word 0 to msb word 0, lsb word 1 to msb word 1, and so on. although the word size and number of words for each port varies, this mapping scheme applies to each port. ram initialization and rom operation if desired, the contents of the ram can be pre-loaded during device con?uration. by preloading the ram block during the chip con?uration cycle and disabling the write controls, the sysmem block can also be utilized as a rom. memory cascading larger and deeper blocks of ram can be created using ebr sysmem blocks. typically, the lattice design tools cascade memory transparently, based on speci? design inputs. single, dual and pseudo-dual port modes in all the sysmem ram modes the input data and address for the ports are registered at the input of the memory array. the output data of the memory is optionally registered at the output. ebr memory supports two forms of write behavior for single port or dual port operation: 1. normal ?data on the output appears only during a read cycle. during a write cycle, the data (at the current address) does not appear on the output. this mode is supported for all data widths. memory mode con?urations single port 16,384 x 1 8,192 x 2 4,096 x 4 2,048 x 9 1,024 x 18 512 x 36 true dual port 16,384 x 1 8,192 x 2 4,096 x 4 2,048 x 9 1,024 x 18 pseudo dual port 16,384 x 1 8,192 x 2 4,096 x 4 2,048 x 9 1,024 x 18 512 x 36
2-20 architecture lattice semiconductor latticeecp2/m family data sheet 2. w rite through ?a copy of the input data appears at the output of the same port during a write cycle. this mode is supported for all data widths. memory core reset the memory array in the ebr utilizes latches at the a and b output ports. these latches can be reset asynchro- nously or synchronously. rsta and rstb are local signals, which reset the output latches associated with port a and port b, respectively. the global reset (gsrn) signal resets both ports. the output data latches and associ- ated resets for both ports are as shown in figure 2-20. figure 2-20. memory core reset for further information about the sysmem ebr block, please see the the list of additional technical documentation at the end of this data sheet. ebr asynchronous reset ebr asynchronous reset or gsr (if used) can only be applied if all clock enables are low for a clock cycle before the reset is applied and released a clock cycle after the reset is released, as shown in figure 2-21. the gsr input to the ebr is always asynchronous. figure 2-21. ebr asynchronous reset (including gsr) timing diagram if all clock enables remain enabled, the ebr asynchronous reset or gsr may only be applied and released after the ebr read and write clock inputs are in a steady state condition for a minimum of 1/f max (ebr clock). the reset release must adhere to the ebr synchronous reset setup time before the next active read or write clock edge. q set d l clr output data latches memory core port a[17:0] q set d port b[17:0] rstb gsrn pro g rammable disable rsta l clr reset clock clock ena b le
2-21 architecture lattice semiconductor latticeecp2/m family data sheet if an ebr is pre-loaded during con?uration, the gsr input must be disabled or the release of the gsr during device w ake up must occur before the release of the device i/os becomes active. these instructions apply to all ebr ram and rom implementations. note that there are no reset restrictions if the ebr synchronous reset is used and the ebr gsr input is disabled. sysdsp block the latticeecp2/m family provides a sysdsp block, making it ideally suited for low cost, high performance digital signal processing (dsp) applications. typical functions used in these applications are finite impulse response (fir) ?ters, fast fourier transforms (fft) functions, correlators, reed-solomon/turbo/convolution encoders and decoders. these complex signal processing functions use similar building blocks such as multiply-adders and mul- tiply-accumulators. sysdsp block approach compared to general dsp conventional general-purpose dsp chips typically contain one to four (multiply and accumulate) mac units with ?ed data-width multipliers; this leads to limited parallelism and limited throughput. their throughput is increased by higher clock speeds. the latticeecp2/m, on the other hand, has many dsp blocks that support different data- widths. this allows the designer to use highly parallel implementations of dsp functions. the designer can opti- mize the dsp performance vs. area by choosing an appropriate level of parallelism. figure 2-22 compares the fully serial and the mixed parallel and serial implementations. figure 2-22. comparison of general dsp and latticeecp2/m approaches sysdsp block capabilities the sysdsp block in the latticeecp2/m family supports four functional elements in three 9, 18 and 36 data path widths. the user selects a function element for a dsp block and then selects the width and type (signed/unsigned) of its operands. the operands in the latticeecp2/m family sysdsp blocks can be either signed or unsigned but not mixed within a function element. similarly, the operand widths cannot be mixed within a block. in the latticeecp2/ m family the dsp elements can be concatenated. the resources in each sysdsp block can be con?ured to support the following four elements: multiplier 0 x operand a operand b x operand a operand b x operand a operand b multiplier 1 multiplier k (k adds) output m/k loops single multiplier x operand a accumulator operand b m loops function implemented in general purpose dsp function implemented in latticeecp2/m m/k accumulate + +
2-22 architecture lattice semiconductor latticeecp2/m family data sheet mult (multiply) mac (multiply, accumulate) multaddsub (multiply, addition/subtraction) multaddsubsum (multiply, addition/subtraction, accumulate) the number of elements available on each block depends in the width selected from the three available options x9, x18, and x36. a number of these elements are concatenated for highly parallel implementations of dsp functions. table 2-7 shows the capabilities of the block. table 2-7. maximum number of elements in a block some options are available in four elements. the input register in all the elements can be directly loaded or can be loaded as a shift register from previous operand registers. by selecting ?ynamic operation the following opera- tions are possible: in the ?igned/unsigned options the operands can be switched between signed and unsigned on every cycle. in the ?dd/sub option the accumulator can be switched between addition and subtraction on every cycle. the loading of operands can switch between parallel and serial operations. width of multiply x9 x18 x36 mult 8 4 1 mac 2 2 multaddsub 4 2 multaddsubsum 2 1
2-23 architecture lattice semiconductor latticeecp2/m family data sheet mult sysdsp element this multiplier element implements a multiply with no addition or accumulator nodes. the two operands, a and b, are multiplied and the result is available at the output. the user can enable the input/output and pipeline registers. figure 2-23 shows the mult sysdsp element. figure 2-23. mult sysdsp element multiplier x n m m n m n m n n m m+n m+n (default) clk (clk0,clk1,clk2,clk3) ce (ce0,ce1,ce2,ce3) rst(rst0,rst1,rst2,rst3) pipeline register input register multiplier multiplicand signed a shift register a in shift register b in shift register a out shift register b out output input data register a input data register b output register to multiplier input register signed b to multiplier
2-24 architecture lattice semiconductor latticeecp2/m family data sheet mac sysdsp element in this case, the two operands, a and b, are multiplied and the result is added with the previous accumulated value. this accumulated value is available at the output. the user can enable the input and pipeline registers, but the out- put register is always enabled. the output register is used to store the accumulated value. the accumulators in the dsp blocks in the latticeecp2/m family can be initialized dynamically. a registered over?w signal is also avail- able. the over?w conditions are provided later in this document. figure 2-24 shows the mac sysdsp element. figure 2-24. mac sysdsp multiplier x input data register a n m input data register b m n n n m n n m output register out put register accumulator multiplier multiplicand signed a serial register b in serial register a in srob sroa output addn accumsload pipeline clk (clk0,clk1,clk2,clk3) ce (ce0,ce1,ce2,ce3) rst(rst0,rst1,rst2,rst3) input pipeline register input register pipeline register input register pipeline register to accumulator signed b pipeline input to accumulator to accumulator to accumulator overflow signal m+n (default) m+n+16 (default) m+n+16 (default) preload register register register register
2-25 architecture lattice semiconductor latticeecp2/m family data sheet multaddsub sysdsp element in this case, the operands a0 and b0 are multiplied and the result is added/subtracted with the result of the multi- plier operation of operands a1 and a2. the user can enable the input, output and pipeline registers. figure 2-25 shows the multaddsub sysdsp element. figure 2-25. multaddsub multiplier multiplier add/sub pipe reg pipe reg n m m n m n m n n m m+n (default) m+n+1 (default) m+n+1 (default) m+n (default) x x n m m n m n n m multiplier b0 multiplicand a0 multiplier b1 multiplicand a1 signed a shift register a in shift register b in shift register a out shift register b out output addn pipeline register clk (clk0,clk1,clk2,clk3) ce (ce0,ce1,ce2,ce3) rst(rst0,rst1,rst2,rst3) input register pipeline register input register pipeline register pipeline register pipe reg signed b pipeline register input register input data register a input data register a input data register b input data register b output register to add/sub to add/sub to add/sub
2-26 architecture lattice semiconductor latticeecp2/m family data sheet multaddsubsum sysdsp element in this case, the operands a0 and b0 are multiplied and the result is added/subtracted with the result of the multi- plier operation of operands a1 and b1. additionally the operands a2 and b2 are multiplied and the result is added/ subtracted with the result of the multiplier operation of operands a3 and b3. the result of both addition/subtraction are added in a summation block. the user can enable the input, output and pipeline registers. figure 2-26 shows the multaddsubsum sysdsp element. figure 2-26. multaddsubsum clock, clock enable and reset resources global clock, clock enable and reset signals from routing are available to every dsp block. four clock, reset and clock enable signals are selected for the sysdsp block. from four clock sources (clk0, clk1, clk2, clk3) multiplier add/sub0 x n m m+n (default) m+n (default) m+n+1 m+n+2 m+n+2 m+n+1 m+n (default) m+n (default) m n m n m n n m x n n m n n m multiplier multiplier multiplier add/sub1 x n m m n m n m n n m x n m m n m n n m sum multiplier b0 multiplicand a0 multiplier b1 multiplicand a1 multiplier b2 multiplicand a2 multiplier b3 multiplicand a3 signed a shift register b in output addn0 pipeline register clk (clk0,clk1,clk2,clk3) ce (ce0,ce1,ce2,ce3) rst(rst0,rst1,rst2,rst3) input register pipeline register input register to add/sub0 to add/sub0, add/sub1 pipeline register signed b pipeline register input register to add/sub0, add/sub1 pipeline register input register to add/sub1 addn1 pipeline register pipeline register pipeline register shift register a in shift register b out shift register a out input data register a input data register a input data register a input data register a input data register b input data register b input data register b input data register b output register
2-27 architecture lattice semiconductor latticeecp2/m family data sheet one clock is selected for each input register, pipeline register and output register. similarly clock enable (ce) and reset (rst) are selected from their four respective sources (ce0, ce1, ce2, ce3 and rst0, rst1, rst2, rst3) at each input register, pipeline register and output register. signed and unsigned with different widths the dsp block supports different widths of signed and unsigned multipliers besides x9, x18 and x36 widths. for unsigned operands, unused upper data bits should be ?led to create a valid x9, x18 or x36 operand. for signed twos complement operands, sign extension of the most signi?ant bit should be performed until x9, x18 or x36 width is reached. table 2-8 provides an example of this. table 2-8. sign extension example overflow flag from mac the sysdsp block provides an over?w output to indicate that the accumulator has over?wed. w hen two unsigned numbers are added and the result is a smaller number than the accumulator, ?oll-over is said to have occurred and an over?w signal is indicated. w hen two positive numbers are added with a negative sum and when two negative numbers are added with a positive sum, then the accumulator ?oll-over is said to have occurred and an over?w signal is indicated. note that when over?w occurs the over?w ?g is present for only one cycle. by counting these over?w pulses in fpga logic, larger accumulators can be constructed. the conditions over?w signals for signed and unsigned operands are listed in figure 2-27. figure 2-27. accumulator over?w/under?w number unsigned unsigned 9-bit unsigned 18-bit signed twos complement signed 9 bits twos complement signed 18 bits +5 0101 000000101 000000000000000101 0101 000000101 000000000000000101 -6 n/a n/a n/a 1010 111111010 111111111111111010 000000000 000000001 000000010 000000011 111111101 111111110 111111111 overflow signal is generated for one cycle when this boundary is crossed 0 +1 +2 +3 -3 -2 -1 unsigned operation signed operation 0101111111 0101111110 0101111101 0101111100 1010000010 1010000001 1010000000 255 254 253 252 -254 -255 -256 000000000 000000001 000000010 000000011 111111101 111111110 111111111 carry signal is generated for one cycle when this boundary is crossed 0 1 2 3 509 510 511 0101111111 0101111110 0101111101 0101111100 1010000010 1010000001 1010000000 255 254 253 252 258 257 256
2-28 architecture lattice semiconductor latticeecp2/m family data sheet ipexpress the user can access the sysdsp block via the isplever ipexpress tool, which provides the option to con?ure each dsp module (or group of modules) or by direct hdl instantiation. in addition, lattice has partnered with the math w orks to support instantiation in the simulink tool, a graphical simulation environment. simulink works with isplever to dramatically shorten the dsp design cycle in lattice fpgas. optimized dsp functions lattice provides a library of optimized dsp ip functions. some of the ip cores planned for the latticeecp2/m dsp include the bit correlator, fast fourier transform, finite impulse response (fir) filter, reed-solomon encoder/ decoder, turbo encoder/decoder and convolutional encoder/decoder. please contact lattice to obtain the latest list of available dsp ip cores. resources available in the latticeecp2/m family table 2-9 shows the maximum number of multipliers for each member of the latticeecp2/m family. table 2-10 shows the maximum available ebr ram blocks in each latticeecp2/m device. ebr blocks, together with distrib- uted ram can be used to store variables locally for fast dsp operations. table 2-9. maximum number of dsp blocks in the latticeecp2/m family table 2-10. embedded sram in the latticeecp2/m family device dsp block 9x9 multiplier 18x18 multiplier 36x36 multiplier ecp2-6 3 24 12 3 ecp2-12 6 48 24 6 ecp2-20 7 56 28 7 ecp2-35 8 64 32 8 ecp2-50 18 144 72 18 ecp2-70 22 176 88 22 ecp2m20 6 48 24 6 ecp2m35 8 64 32 8 ecp2m50 22 176 88 22 ecp2m70 24 192 96 24 ecp2m100 42 336 168 42 device ebr sram block total ebr sram (kbits) ecp2-6 3 55 ecp2-12 12 221 ecp2-20 15 277 ecp2-35 18 332 ecp2-50 21 387 ecp2-70 60 1106 ecp2m20 66 1217 ecp2m35 114 2101 ecp2m50 225 4147 ecp2m70 246 4534 ecp2m100 288 5308
2-29 architecture lattice semiconductor latticeecp2/m family data sheet latticeecp2/m dsp performance table 2-11 lists the maximum performance in millions of mac operations per second (mmac) for each member of the latticeecp2/m family. table 2-11. dsp performance for further information about the sysdsp block, please see the list of additional technical information at the end of this data sheet. programmable i/o cells (pic) each pic contains two pios connected to their respective sysi/o buffers as shown in figure 2-28. the pio block supplies the output data (do) and the tri-state control signal (to) to the sysi/o buffer and receives input from the buffer. table 2-12 provides the pio signal list. device dsp block dsp performance gmac ecp2-6 3 3.9 ecp2-12 6 7.8 ecp2-20 7 9.1 ecp2-35 8 10.4 ecp2-50 18 23.4 ecp2-70 22 28.6 ecp2m20 6 7.8 ecp2m35 8 10.4 ecp2m50 22 28.6 ecp2m70 24 31.2 ecp2m100 42 54.6
2-30 architecture lattice semiconductor latticeecp2/m family data sheet figure 2-28. pic diagram two adjacent pios can be joined to provide a differential i/o pair (labeled as ? and ?? as shown in figure 2-28. the pad labels ? and ? distinguish the two pios. approximately 50% of the pio pairs on the left and right edges of the device can be con?ured as true lvds outputs. all i/o pairs can operate as inputs. opos1 o n eg1 td i n ck** i n dd i n ff ipos0 ipos1 clk ce lsr gsr n clk1 clk0 ceo cei sysio buffer pada ? pad b ? lsr gsr eclk1 ddrclkpol* *signals are a v aila b le on left/right/ b ottom edges only. ** selected b locks. iold0 di tristate re g ister block output re g ister block input re g ister block control muxes piob pioa opos0 opos2* o n eg0 o n eg2* dqsxfer* qpos1* q n eg1* q n eg0* qpos0* iolt0 eclk2
2-31 architecture lattice semiconductor latticeecp2/m family data sheet table 2-12. pio signals list pio the pio contains four blocks: an input register block, output register block, tristate register block and a control logic block. these blocks contain registers for operating in a variety of modes along with the necessary clock and selec- tion logic. input register block the input register blocks for pios in left, right and bottom edges contain delay elements and registers that can be used to condition high-speed interface signals, such as ddr memory interfaces and source synchronous inter- faces, before they are passed to the device core. figure 2-29 shows the diagram of the input register block for left, right and bottom edges. the input register block for the top edge contains one memory element to register the input signal as shown in figure 2-30. the following description applies to the input register block for pios in the left, right and bottom edges of the device. input signals are fed from the sysi/o buffer to the input register block (as signal di). if desired, the input signal can bypass the register and delay elements and be used directly as a combinatorial signal (indd), a clock (inck) and, in selected blocks, the input to the dqs delay block. if an input delay is desired, designers can select either a ?ed delay or a dynamic delay del[3:0]. the delay, if selected, reduces input register hold time requirements when using a global clock. the input block allows three modes of operation. in the single data rate (sdr) the data is registered, by one of the registers in the single data rate sync register block, with the system clock. in ddr mode, two registers are used to sample the data on the positive and negative edges of the dqs signal, creating two data streams, d0 and d1. these two data streams are synchronized with the system clock before entering the core. further discussion on this topic is in the ddr memory section of this data sheet. name type description ce0, ce1 control from the core clock enables for input and output block ?p-?ps clk0, clk1 control from the core system clocks for input and output blocks eclk1, eclk2 control from the core fast edge clocks lsr control from the core local set/reset gsrn control from routing global set/reset (active low) inck 2 input to the core input to primary clock network or pll reference inputs dqs input to pio dqs signal from logic (routing) to pio indd input to the core unregistered data input to core inff input to the core registered input on positive edge of the clock (clk0) ipos0, ipos1 input to the core double data rate registered inputs to the core qpos0 1 , qpos1 1 input to the core gearbox pipelined inputs to the core qneg0 1 , qneg1 1 input to the core gearbox pipelined inputs to the core opos0, oneg0, opos2, oneg2 output data from the core output signals from the core for sdr and ddr operation opos1 oneg1 tristate control from the core signals to tristate register block for ddr operation del[3:0] control from the core dynamic input delay control bits td tristate control from the core tristate signal from the core used in sdr operation ddrclkpol control from clock polarity bus controls the polarity of the clock (clk0) that feed the ddr input block dqsxfer control from core controls signal to the output block 1. signals available on left/right/bottom only. 2. selected i/o.
2-32 architecture lattice semiconductor latticeecp2/m family data sheet by combining input blocks of the complementary pios and sharing some registers from output blocks, a gearbox function can be implemented, which takes a double data rate signal applied to pioa and converts it as four data streams, ipos0a, ipos1a, ipos0b and ipos1b. figure 2-29 shows the diagram using this gearbox function. for more information about this topic, please see information regarding additional documentation at the end of this data sheet. the signal ddrclkpol controls the polarity of the clock used in the synchronization registers. it ensures ade- quate timing when data is transferred from the dqs to the system clock domain. for further information about this topic, see the ddr memory section of this data sheet. figure 2-29. input register block for left, right and bottom edges clock transfer re g isters clock transfer re g isters sdr & sync re g isters d1 d2 d0 ddr re g isters d q d-type d q d-type d q d-type d q d-type /latch d q d-type 0 1 d q d q 0 1 fixed delay dynamic delay di (from sysio b u ffer) di (from sysio b u ffer) i n ck** i n dd ipos0a qpos0a ipos1a qpos1a del [3:0] clk0 (of pio a) delayed dqs 0 1 clka dq d q d q 0 1 0 1 d q d q 0 1 d q d q 0 1 fixed delay dynamic delay i n ck** i n dd ipos0b qpos0b ipos1b qpos1b del [3:0] clk0 (of pio b) delayed dqs clkb /latch true pio (a) in lvds i/o pair comp pio (b) in lvds i/o pair d-type* d-type* d-type /latch d-type /latch d-type* d-type* from routin g to routin g d1 d2 d0 ddr re g isters sdr & sync re g isters 0 1 ddrsrc gear b ox config u ration bit ddrclkpol ddrclkpol *shared w ith o u tp u t register **selected pio. n ote: simplified v ersion does not sho w ce and set/reset details from routin g to routin g to dqs delay block** to dqs delay block** d-type d-type d-type
2-33 architecture lattice semiconductor latticeecp2/m family data sheet figure 2-30. input register block top edge output register block the output register block provides the ability to register signals from the core of the device before they are passed to the sysi/o buffers. the blocks on the pios on the left, right and bottom contain a register for sdr operation that is combined with an additional latch for ddr operation. figure 2-31 shows the diagram of the output register block for pios on the left, right and the bottom edges. figure 2-32 shows the diagram of the output register block for pios on the top edge of the device. in sdr mode, oneg0 feeds one of the ?p-?ps that then feeds the output. the ?p-?p can be con?ured as a d- type or latch. in ddr mode, oneg0 and opos0 are fed into registers on the positive edge of the clock. then at the next clock cycle this registered opos0 is latched. a multiplexer running off the same clock selects the correct register for feeding to the output (d0). by combining the output blocks of the complementary pios and sharing some registers from input blocks, a gear- box function can be implemented, that takes four data streams: oneg0a, oneg1a, oneg1b and oneg1b. figure 2-32 shows the diagram using this gearbox function. for more information about this topic, please see infor- mation regarding additional documentation at the end of this data sheet. fixed delay dynamic delay n ote: simplified v ersion does not sho w ce and set/reset details. *on selected b locks. to routin g di (from sysio bu ffer) clk0 (from ro u ting) del[3:0] i n ck* i n dd d-type ipos0 /latch dq
2-34 architecture lattice semiconductor latticeecp2/m family data sheet figure 2-31. output and tristate block for left, right and bottom edges clock transfer registers o n eg1 clka to opos1 from routin g td dq dq dq 0 1 0 1 0 1 dq dq dq 0 1 0 1 d q d-type * d q latch d q 0 1 0 1 0 1 0 1 o n eg0 opos0 do programma b le control programma b le control 0 1 eclk1 eclk2 clk1 tristate lo g ic tristate lo g ic output lo g ic true pio (a) in lvds i/o pair t o sy s io b uff e r o n eg1 clkb to opos1 from routin g td d q d q d q 0 1 0 1 0 1 d q d-type /latch d-type /latch d-type /latch d-type /latch dq dq 0 1 0 1 d q dq latch d-type d-type latch latch d-type latch d-type latch dq o n eg0 opos0 do eclk1 eclk2 clk1 output lo g ic t o s ys io buf fe r comp pio (b) in lvds i/o pair (clkb) (clka) d-type * d-type* d-type* clock transfer re g isters ddr output re g isters ddr output re g isters * shared w ith inp u t register n ote: simplified v ersion does not sho w ce and set/reset details 0 1 dqsxfer dqsxfer 0 1 0 1
2-35 architecture lattice semiconductor latticeecp2/m family data sheet figure 2-32. output and tristate block, top edge tristate register block the tristate register block provides the ability to register tri-state control signals from the core of the device before they are passed to the sysi/o buffers. the block contains a register for sdr operation and an additional latch for ddr operation. figure 2-31 shows the diagram of the tristate register block with the output block for the left, right and bottom edges and figure 2-32 shows the diagram of the tristate register block with the output block for the top edge. in sdr mode, oneg1 feeds one of the ?p-?ps that then feeds the output. the ?p-?p can be con?ured a d- type or latch. in ddr mode, oneg1 and opos1 are fed into registers on the positive edge of the clock. then in the next clock the registered opos1 is latched. a multiplexer running off the same clock cycle selects the correct register for feeding to the output (d0). control logic block the control logic block allows the selection and modi?ation of control signals for use in the pio block. a clock is selected from one of the clock signals provided from the general purpose routing, one of the edge clocks (eclk1/ eclk2) and a dqs signal provided from the programmable dqs pin and provided to the input register block. the clock can optionally be inverted. ddr memory support certain pics have additional circuitry to allow the implementation of high speed source synchronous and ddr memory interfaces. the support varies by the edge of the device as detailed below. left and right edges pics on these edges have registered elements that support ddr memory interfaces. one of every 16 pios con- tains a delay element to facilitate the generation of dqs signals. the dqs signal feeds the dqs bus that spans the set of 16 pios. figure 2-33 shows the assignment of dqs pins in each set of 16 pios. bottom edge pics on the bottom edge have registered elements that support ddr memory interfaces. one of every 18 pios contains a delay element to facilitate the generation of dqs signals. the dqs signal feeds the dqs bus that spans the set of 18 pios. figure 2-34 shows the assignment of dqs pins in each set of 18 pios. to o n eg1 n ote: simplified v ersion does not sho w ce and set/reset details. from routin g td d q d-type 0 1 0 1 d q d-type /latch 0 1 o n eg0 do eclk1 eclk2 clk1 tristate lo g ic output lo g ic to sys io buffer (clka) 0 1 /latch
2-36 architecture lattice semiconductor latticeecp2/m family data sheet top edge the pics on the top edge are different from pios on the left, right and bottom edges. pios on this edge do not have ddr registers or dqs signals. the exact dqs pins are shown in a dual function in the logic signal connections table in this data sheet. addi- tional detail is provided in the signal descriptions table. the dqs signal from the bus is used to strobe the ddr data from the memory into input register blocks. interfaces on the left and right edges are designed for ddr mem- ories that support 16 bits of data, whereas interfaces on the bottom are designed for memories that support 18 bits of data. figure 2-33. dqs input routing for the left and right edges of the device pio b pio a pio b pio a assi g ned dqs pin dqs delay sysio b u ffer pada "t" padb "c" l v ds pair pada "t" padb "c" l v ds pair pio a pio b pada "t" padb "c" l v ds pair pio a pio b pada "t" padb "c" l v ds pair pio a pio b pada "t" padb "c" l v ds pair pio a pio b pada "t" padb "c" l v ds pair pio a pio b pada "t" padb "c" l v ds pair pio a pio b pada "t" padb "c" l v ds pair
2-37 architecture lattice semiconductor latticeecp2/m family data sheet figure 2-34. dqs input routing for the bottom edge of the device dll calibrated dqs delay block source synchronous interfaces generally require the input clock to be adjusted in order to correctly capture data at the input register. for most interfaces a pll is used for this adjustment. however, in ddr memories the clock (referred to as dqs) is not free-running so this approach cannot be used. the dqs delay block provides the required clock alignment for ddr memory interfaces. the dqs signal (selected pios only, as shown in figure 2-35) feeds from the pad through a dqs delay element to a dedicated dqs routing resource. the dqs signal also feeds polarity control logic, which controls the polarity of the clock to the sync registers in the input register blocks. figure 2-35 and figure 2-36 show how the dqs transi- tion signals are routed to the pios. the temperature, voltage and process variations of the dqs delay block are compensated by a set of calibration (6-bit bus) signals from two dedicated dlls (ddr_dll) on opposite sides of the device. each dll compensates dqs delays in its half of the device as shown in figure 2-35. the dll loop is compensated for temperature, volt- age and process variations by the system clock and feedback loop. pio b pio a pio b pio a assi g ned dqs pin dqs delay sysio b u ffer pada "t" padb "c" l v ds pair pada "t" padb "c" l v ds pair pio a pio b pada "t" padb "c" l v ds pair pio a pio b pada "t" padb "c" l v ds pair pio a pio b pada "t" padb "c" l v ds pair pio a pio b pada "t" padb "c" l v ds pair pio a pio b pada "t" padb "c" l v ds pair pio b pio a pada "t" padb "c" l v ds pair pio a pio b pada "t" padb "c" l v ds pair
2-38 architecture lattice semiconductor latticeecp2/m family data sheet figure 2-35. edge clock, dll calibration and dqs local bus distribution i/o bank 5 n ote: bank 8 is not sho w n. i/o bank 4 i/o b a n k 6 i/o b a n k 3 i/o b a n k 2 i/o bank 0 i/o bank 1 ddr_dll (right) i/o b a n k 7 ddr_dll (left) eclk1 eclk2 delayed dqs polarity control dqsxfer dqs delay control bus dqs input spans 1 8 pios spans 16 pios
2-39 architecture lattice semiconductor latticeecp2/m family data sheet figure 2-36. dqs local bus polarity control logic in a typical ddr memory interface design, the phase relationship between the incoming delayed dqs strobe and the internal system clock (during the read cycle) is unknown. the latticeecp2/m family contains dedicated circuits to transfer data between these domains. to prevent set-up and hold violations, at the domain transfer between dqs (delayed) and the system clock, a clock polarity selector is used. this changes the edge on which the data is registered in the synchronizing registers in the input register block. this requires evaluation at the start of each read cycle for the correct clock polarity. prior to the read operation in ddr memories, dqs is in tristate (pulled by termination). the ddr memory device drives dqs low at the start of the preamble state. a dedicated circuit detects the ?st dqs rising edge after the pre- amble state. this signal is used to control the polarity of the clock to the synchronizing registers. sysio buffer ddr datain pad di clk1 cei pio sysio buffer gsr dqs to sync reg. dqs to ddr reg. dqs stro b e pad pio dqsdel polarity control lo g ic dqs cali b ration bu s from dll dqsxfer output re g ister block input re g ister block dqsxfer dc n tl[6:0] polarit y control dqs di dqsxferdel* dqsxfer dc n tl[6:0] *dqsxferdel shifts eclk1 b y 90 % and is not associated w ith a partic u lar pio. dc n tl[6:0] eclk1 clk1 eclk2 eclk1
2-40 architecture lattice semiconductor latticeecp2/m family data sheet dqsxfer latticeecp2/m devices provide a dqsxfer signal to the output buffer to assist it in data transfer to ddr memo- ries that require dqs strobe be shifted 90 o . this shifted dqs strobe is generated by the dqsdel block. the dqsxfer signal runs the span of the data bus. sysi/o buffer each i/o is associated with a ?xible buffer referred to as a sysi/o buffer. these buffers are arranged around the periphery of the device in groups referred to as banks. the sysi/o buffers allow users to implement the wide variety of standards that are found in todays systems including lvcmos, sstl, hstl, lvds and lvpecl. sysi/o buffer banks latticeecp2/m devices have nine sysi/o buffer banks: eight banks for user i/os arranged two per side. the ninth sysi/o buffer bank (bank 8) is located adjacent to bank 3 and has dedicated/shared i/os for con?uration. w hen a shared pin is not used for con?uration it is available as a user i/o. each bank is capable of supporting multiple i/o standards. each sysi/o bank has its own i/o supply voltage (v ccio ). in addition, each bank, except bank 8, has voltage references, v ref1 and v ref2 , which allow it to be completely independent from the others. bank 8 shares two voltage references, v ref1 and v ref2 , with bank 3. figure 2-37 shows the nine banks and their associated sup- plies. in latticeecp2/m devices, single-ended output buffers and ratioed input buffers (lvttl, lvcmos and pci) are powered using v ccio . lvttl, lvcmos33, lvcmos25 and lvcmos12 can also be set as ?ed threshold inputs independent of v ccio . each bank can support up to two separate v ref voltages, v ref1 and v ref2 , that set the threshold for the refer- enced input buffers. some dedicated i/o pins in a bank can be con?ured to be a reference voltage supply pin. each i/o is individually con?urable based on the banks supply and reference voltages.
2-41 architecture lattice semiconductor latticeecp2/m family data sheet figure 2-37. latticeecp2 banks v ref1(2) gnd bank 2 v ccio2 v ref2(2) v ref1(3) gnd bank 3 v ccio3 v ref2(3) v ref1(7) gnd bank 7 v ccio7 v ref2(7) v ref1(6) gnd bank 6 v ccio6 v ref2(6) bank 5 bank 4 v ref1(0) gnd bank 0 v ccio0 v ref2(0) v ref1(1) gnd bank 1 v ccio1 v ref2(1) gnd bank 8 v ccio8 left right top v ref1(5) gnd v ccio5 v ref2(5) v ref1(4) gnd v ccio4 v ref2(4) bottom
2-42 architecture lattice semiconductor latticeecp2/m family data sheet figure 2-38. latticeecp2m banks latticeecp2/m devices contain two types of sysi/o buffer pairs. 1. top (bank 0 and bank 1) sysi/o buffer pairs (single-ended outputs only) the sysi/o buffer pairs in the top banks of the device consist of two single-ended output drivers and two sets of single-ended input buffers (both ratioed and referenced). one of the referenced input buffers can also be con- ?ured as a differential input. the two pads in the pair are described as ?rue and ?omp? where the true pad is associated with the positive side of the differential input buffer and the comp (complementary) pad is associated with the negative side of the differential input buffer. 2. bottom (bank 4 and bank 5) sysi/o buffer pairs (single-ended outputs only) the sysi/o buffer pairs in the bottom banks of the device consist of two single-ended output drivers and two v ref1(5) gnd v ccio5 v ref2(5) v ref1(4) gnd v ccio4 v ref2(4) v ref1(0) gnd v ccio0 v ref2(0) v ref1(1) gnd v ccio1 v ref2(1) v ref1(7) gnd v ccio7 v ref2(7) v ref1(6) gnd v ccio6 v ref2(6) v ref1(2) gnd v ccio2 v ref2(2) v ref1(3) gnd v ccio3 v ref2(3) gnd v ccio8 right bank 2 bank 3 bank 7 bank 6 bank 5 bank 4 bank 0 bank 1 bank 8 bottom serdes quad serdes quad serdes quad serdes quad left top
2-43 architecture lattice semiconductor latticeecp2/m family data sheet sets of single-ended input buffers (both ratioed and referenced). one of the referenced input buffers can also be con?ured as a differential input. the two pads in the pair are described as ?rue and ?omp? where the true pad is associated with the positive side of the differential input buffer and the comp (complementary) pad is associated with the negative side of the differential input buffer. 3. left and right (banks 2, 3, 6 and 7) sysi/o buffer pairs (50 % differential and 100 % single-ended out- puts) the sysi/o buffer pairs in the left and right banks of the device consist of two single-ended output drivers, two sets of single-ended input buffers (both ratioed and referenced) and one differential output driver. one of the ref- erenced input buffers can also be con?ured as a differential input. in these banks the two pads in the pair are described as ?rue and ?omp? where the true pad is associated with the positive side of the differential i/o, and the comp (complementary) pad is associated with the negative side of the differential i/o. lvds differential output drivers are available on 50% of the buffer pairs on the left and right banks. 4. bank 8 sysi/o buffer pairs (single-ended outputs, only on shared pins when not used by con?ura- tion) the sysi/o buffers in bank 8 consist of single-ended output drivers and single-ended input buffers (both ratioed and referenced). the referenced input buffer can also be con?ured as a differential input. the two pads in the pair are described as ?rue and ?omp? where the true pad is associated with the positive side of the differential input buffer and the comp (complementary) pad is associated with the negative side of the differential input buffer. in latticeecp2 devices, only the i/os on the bottom banks have programmable pci clamps. in latticeecp2m devices, the i/os on the left and bottom banks have programmable pci clamps. typical sysi/o i/o behavior during power-up the internal power-on-reset (por) signal is deactivated when v cc , v ccio8 and v ccaux have reached satisfactory levels. after the por signal is deactivated, the fpga core logic becomes active. it is the users responsibility to ensure that all other v ccio banks are active with valid input logic levels to properly control the output logic states of all the i/o banks that are critical to the application. for more information about controlling the output logic state with valid input logic levels during power-up in latticeecp2/m devices, see the list of additional technical documentation at the end of this data sheet. the v cc and v ccaux supply the power to the fpga core fabric, whereas the v ccio supplies power to the i/o buff- ers. in order to simplify system design while providing consistent and predictable i/o behavior, it is recommended that the i/o buffers be powered-up prior to the fpga core fabric. v ccio supplies should be powered-up before or together with the v cc and v ccaux supplies. supported sysi/o standards the latticeecp2/m sysi/o buffer supports both single-ended and differential standards. single-ended standards can be further subdivided into lvcmos, lvttl and other standards. the buffers support the lvttl, lvcmos 1.2v, 1.5v, 1.8v, 2.5v and 3.3v standards. in the lvcmos and lvttl modes, the buffer has individual con?ura- tion options for drive strength, bus maintenance (weak pull-up, weak pull-down, or a bus-keeper latch) and open drain. other single-ended standards supported include sstl and hstl. differential standards supported include lvds, mlvds, blvds, lvpecl, rsds, differential sstl and differential hstl. tables 2-13 and 2-14 show the i/ o standards (together with their supply and reference voltages) supported by latticeecp2/m devices. for further information about utilizing the sysi/o buffer to support a variety of standards please see the the list of additional technical information at the end of this data sheet.
2-44 architecture lattice semiconductor latticeecp2/m family data sheet table 2-13. supported input standards input standard v ref (nom.) v ccio 1 (nom.) single ended interfaces lvttl lvcmos33 lvcmos25 lvcmos18 1.8 lvcmos15 1.5 lvcmos12 pci 33 3.3 hstl18 class i, ii 0.9 hstl15 class i 0.75 sstl3 class i, ii 1.5 sstl2 class i, ii 1.25 sstl18 class i, ii 0.9 differential interfaces differential sstl18 class i, ii differential sstl2 class i, ii differential sstl3 class i, ii differential hstl15 class i differential hstl18 class i, ii lvds, mlvds, lvpecl, blvds, rsds 1 w hen not speci?d, v ccio can be set anywhere in the valid operating range (page 3-1).
2-45 architecture lattice semiconductor latticeecp2/m family data sheet table 2-14. supported output standards hot socketing latticeecp2/m devices have been carefully designed to ensure predictable behavior during power-up and power- down. during power-up and power-down sequences, the i/os remain in tri-state until the power supply voltage is high enough to ensure reliable operation. in addition, leakage into i/o pins is controlled within speci?d limits. this allows for easy integration with the rest of the system. these capabilities make the latticeecp2/m ideal for many multiple power supply and hot-swap applications. output standard drive v ccio (nom.) single-ended interfaces lvttl 4ma, 8ma, 12ma, 16ma, 20ma 3.3 lvcmos33 4ma, 8ma, 12ma 16ma, 20ma 3.3 lvcmos25 4ma, 8ma, 12ma, 16ma, 20ma 2.5 lvcmos18 4ma, 8ma, 12ma, 16ma 1.8 lvcmos15 4ma, 8ma 1.5 lvcmos12 2ma, 6ma 1.2 lvcmos33, open drain 4ma, 8ma, 12ma 16ma, 20ma lvcmos25, open drain 4ma, 8ma, 12ma 16ma, 20ma lvcmos18, open drain 4ma, 8ma, 12ma 16ma lvcmos15, open drain 4ma, 8ma lvcmos12, open drain 2ma, 6ma pci33 n/a 3.3 hstl18 class i, ii n/a 1.8 hstl15 class i n/a 1.5 sstl3 class i, ii n/a 3.3 sstl2 class i, ii n/a 2.5 sstl18 class i, ii n/a 1.8 differential interfaces differential sstl3, class i, ii n/a 3.3 differential sstl2, class i, ii n/a 2.5 differential sstl18, class i, ii n/a 1.8 differential hstl18, class i, ii n/a 1.8 differential hstl15, class i n/a 1.5 lvds n/a 2.5 mlvds 1 n/a 2.5 blvds 1 n/a 2.5 lvpecl 1 n/a 3.3 rsds 1 n/a 2.5 lvcmos33d 1 4ma, 8ma, 12ma, 16ma, 20ma 3.3 1. emulated with external resistors. for more detail, please see information regarding additional technical documentation at the end of this data sheet.
2-46 architecture lattice semiconductor latticeecp2/m family data sheet serdes and pcs (physical coding sublayer) latticeecp2m devices feature up to 16 channels of embedded serdes arranged in quads at the corners of the devices. figure 2-39 shows the position of the quad blocks in relation to the pfu array for latticeecp2m70 and latticeecp2m100 devices. table 2-15 shows the location of quads for all the devices. each quad contains four dedicated serdes (ch0 to ch3) for high-speed, full-duplex serial data transfer. each quad also has a pcs block that interfaces to the serdes channels and contains digital logic to support an array of popular data protocols. pcs also contains logic to the interface to fpga core. figure 2-39. serdes quads (latticeecp2m70/latticeecp2m100) table 2-15. available serdes quads per latticeecp2m devices serdes block a differential receiver receives the serial encoded data stream, equalizes the signal, extracts the buried clock and de-serializes the data-stream before passing the 8- or 10-bit data to the pcs logic. the transmit channel receives the parallel (8- or 10-bit) encoded data, serializes the data and transmits the serial bit stream through the differen- tial buffers. there is a single transmit clock per quad. figure 2-40 shows a single channel serdes and its inter- face to the pcs logic. each serdes receiver channel provides a recovered clock to the pcs block and to the fpga core logic. device urc quad ulc quad lrc quad llc quad ecp2m20 available ecp2m35 available ecp2m50 available available ecp2m70 available available available available ecp2m100 available available available available ulc serdes quad urc serdes quad lrc serdes quad llc serdes quad ch 3 pcs digital logic ch 2 ch 1 ch 0 ch 3 pcs digital logic ch 2 ch 1 ch 0 ch 3 pcs digital logic ch 2 ch 1 ch 0 ch 3 pcs digital logic ch 2 ch 1 ch 0
2-47 architecture lattice semiconductor latticeecp2/m family data sheet each transmit and receive channel has its independent power supplies. the output and input buffers of each channel also have their own independent power supplies. in addition, there are separate power supplies for pll, terminating resistor per quad. figure 2-40. simpli?d channel block diagram for serdes and pcs pcs as shown in figure 2-40, the pcs receives the parallel digital data from the deserializer receivers and adjusts the polarity, detects, byte boundary, decodes (8b/10b) and provides clock tolerance compensation (ctc) fifo for changing the clock domain from receiver clock to the fpga clock. for the transmit channel, the pcs block receives the parallel data from the fpga core, encodes it with 8b/10b, adjusts the polarity and passes the 8/10 bit data to the transmit serdes channel. the pcs also provides bypass modes that allow a direct 8-bit or 10-bit interface from the serdes to the fpga logic. the pcs interface to fpga can also be programmed to run at 1/2 speed for a 16-bit or 20-bit interface to the fpga logic. sci (serdes client interface) bus the serdes client interface (sci) is a soft ip interface that allow the serdes/pcs quad block to be controlled by registers as opposed to the con?uration memory cells. it is a simple register con?uration interface. the isplever design tools from lattice support all modes of the pcs. most modes are dedicated to applications associated with a speci? industry standard data protocol. other more general purpose modes allow users to de?e their own operation. w ith isplever, the user can de?e the mode for each quad in a design. popular standards such as 10gb ethernet and x4 pci-express and 4x serial rapidio can be implemented using ip (provided by lattice), a single quad (four serdes channels and pcs) and some additional logic from the core. for further information about serdes, please see the list of additional technical documentation at the end of this data sheet. deserializer 1: 8 /1:10 polarity adjust equalizer byte boundary detect, 8 b/10b decoder ctc fifo down sample fifo up sample fifo 8 b/10b encoder polarity adjust serializer tx pll fpga transmit clock recovered clock rx refclk fpga receive clock to fpga core transmit receiver 8 /10 bits or 16/20 bits transmit data elastic buffer read clock 16/20 bits receive data from transmit pll (in common block) serdes (analo g ) pcs (di g ital) 8 :1/10:1 tx refclk
2-48 architecture lattice semiconductor latticeecp2/m family data sheet ieee 1149.1-compliant boundary scan testability all latticeecp2/m devices have boundary scan cells that are accessed through an ieee 1149.1 compliant test access port (tap). this allows functional testing of the circuit board, on which the device is mounted, through a serial scan path that can access all critical logic nodes. internal registers are linked internally, allowing test data to be shifted in and loaded directly onto test nodes, or test data to be captured and shifted out for veri?ation. the test access port consists of dedicated i/os: tdi, tdo, tck and tms. the test access port has its own supply voltage v ccj and can operate with lvcmos3.3, 2.5, 1.8, 1.5 and 1.2 standards. for more details on boundary scan test, please see information regarding additional technical documentation at the end of this data sheet. device con?uration all latticeecp2/m devices contain two ports that can be used for device con?uration. the test access port (tap), which supports bit-wide con?uration, and the sysconfig port, support both byte-wide and serial con?uration, including the standard spi flash interface. the tap supports both the ieee standard 1149.1 boundary scan spec- i?ation and the ieee standard 1532 in- system con?uration speci?ation. the sysconfig port is a 20-pin inter- face with six i/os used as dedicated pins with the remainder used as dual-use pins. see tn1108, latticeecp2/m sysconfig usage guide for more information about using the dual-use pins as general purpose i/os. on power-up, the fpga sram is ready to be con?ured using the selected sysconfig port. once a con?uration port is selected, it will remain active throughout that con?uration cycle. the ieee 1149.1 port can be activated any time after power-up by sending the appropriate command through the tap port. enhanced con?uration option latticeecp2/m devices have enhanced con?uration features such as: decryption support, transfr i/o and dual boot image support. 1. decryption support latticeecp2/m devices provide on-chip, one time programmable (otp) non-volatile key storage to support decryption of a 128-bit aes encrypted bitstream, securing designs and deterring design piracy. 2. transfr (transparent field recon?uration) transfr i/o (tfr) is a unique lattice technology that allows users to update their logic in the ?ld without interrupting system operation using a single ispvm command. transfr i/o allows i/o states to be frozen dur- ing device con?uration. this allows the device to be ?ld updated with a minimum of system disruption and downtime. see tn1087, minimizing system interr uption dur ing con gur ation using t r ansfr t echnology , for details. 3. dual boot image support dual boot images are supported for applications requiring reliable remote updates of con?uration data for the system fpga. after the system is running with a basic con?uration, a new boot image can be downloaded remotely and stored in a separate location in the con?uration storage device. any time after the update the latticeecp2/m can be re-booted from this new con?uration ?e. if there is a problem, such as corrupt data during download or incorrect version number with this new boot image, the latticeecp2/m device can revert back to the original backup con?uration and try again. this all can be done without power cycling the system. for more information about device con?uration, please see the list of additional technical documentation at the end of this data sheet. software error detect (sed) support latticeecp2/m devices have dedicated logic to perform crc checks. during con?uration, the con?uration data bitstream can be checked with the crc logic block. in addition, the latticeecp2 device can also be programmed for checking soft errors (sed) in sram. this sed operation can be run in the background during user mode. if a
2-49 architecture lattice semiconductor latticeecp2/m family data sheet soft error occurs, during user mode (normal operation) the device can be programmed to either reload from a known good boot image or generate an error signal. for further information about soft error detect (sed) support, please see the list of additional technical documen- tation at the end of this data sheet. external resistor latticeecp2/m devices require a single external, 10k ohm ?% value between the xres pin and ground. device con?uration will not be completed if this resistor is missing. there is no boundary scan register on the external resistor pad. on-chip oscillator every latticeecp2/m device has an internal cmos oscillator which is used to derive a master clock for con?ura- tion. the oscillator and the master clock run continuously and are available to user logic after con?uration is com- pleted. the software default value of the master clock is 2.5mhz. table 2-16 lists all the available master con?uration clock frequencies for normal non-encrypted mode and encrypted mode. w hen a different master clock is selected during the design process, the following sequence takes place: 1. device powers up with a master clock frequency of 3.1mhz. 2. during con?uration, users select a different master clock frequency. 3. the master clock frequency changes to the selected frequency once the clock con?uration bits are received. 4. if the user does not select a master clock frequency, then the con?uration bitstream defaults to the master clock frequency of 2.5mhz. this internal cmos oscillator is available to the user by routing it as an input clock to the clock tree. for further information about the use of this oscillator for con?uration or user mode, please see the list of additional technical documentation at the end of this data sheet. table 2-16. selectable master clock (cclk) frequencies during con?uration density shifting the latticeecp2/m family is designed to ensure that different density devices in the same family and in the same package have the same pinout. furthermore, the architecture ensures a high success rate when performing design migration from lower density devices to higher density devices. in many cases, it is also possible to shift a lower uti- lization design targeted for a high-density device to a lower density device. however, the exact details of the ?al resource utilization will impact the likelihood of success in each case. design migration between latticeecp2 and latticeecp2m families is not possible. for speci? requirements relating to sysconfig pins of the ecp2m50, m70 and m100, see the logic signal connections tables. non-encrypted mode cclk (mhz) encrypted mode cclk (mhz) 2.5 1 13.0 45.0 2.5 1 4.3 15.0 55.0 5.4 5.4 20.0 60.0 10.0 6.9 26.0 34.0 8.1 30.0 41.0 9.2 34.0 45.0 10.0 41.0 130.0 1. software default frequency.
www.latticesemi.com 3-1 ds1006 dc and switching_01.8 january 2009 data sheet ds1006 ?2009 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the speci?ations and information herein are subject to change without notice. recommended operating conditions absolute maximum ratings 1, 2, 3 1. stress above those listed under the ?bsolute maximum ratings may cause permanent damage to the device. functional operation of the device at these or any other conditions above those indicated in the operational sections of this speci?ation is not implied. 2. compliance with the lattice thermal management document is required. 3. all voltages referenced to gnd. supply voltage v cc . . . . . . . . . . . . . . . . . . . -0.5 to 1.32v supply voltage v ccaux . . . . . . . . . . . . . . . . -0.5 to 3.75v supply voltage v ccj . . . . . . . . . . . . . . . . . . -0.5 to 3.75v output supply voltage v ccio . . . . . . . . . . . -0.5 to 3.75v input or i/o tristate voltage applied 4 . . . . . . -0.5 to 3.75v storage temperature (ambient) . . . . . . . . . -65 to 150? junction temperature (tj) . . . . . . . . . . . . . . . . . . +125? 4. overshoot and undershoot of -2v to (v ihmax + 2) volts is permitted for a duration of <20ns. symbol parameter min. max. units v cc 1, 4, 5 core supply voltage 1.14 1.26 v v ccaux 1, 3, 4, 5 auxiliary supply voltage 3.135 3.465 v v ccpll pll supply voltage 1.14 1.26 v v ccio 1, 2, 4 i/o driver supply voltage 1.14 3.465 v v ccj 1 supply voltage for ieee 1149.1 test access port 1.14 3.465 v t jcom junction temperature, commercial operation 0 85 c t jind junction temperature, industrial operation -40 100 ? serdes external power supply (for latticeecp2m family only) v ccib input buffer power supply (1.2v) 1.14 1.26 v input buffer power supply (1.5v) 1.425 1.575 v v ccob output buffer power supply (1.2v) 1.14 1.26 v output buffer power supply (1.5v) 1.425 1.575 v v ccaux33 termination resistor switching power supply 3.135 3.465 v v ccrx 6 receive power supply 1.14 1.26 v v cctx 6 transmit power supply 1.14 1.26 v v ccp 6 pll and reference clock buffer power 1.14 1.26 v 1. if v ccio or v ccj is set to 1.2v, they must be connected to the same power supply as v cc. if v ccio or v ccj is set to 3.3v, they must be con- nected to the same power supply as v ccaux . v cc and v ccpll must be connected to the same power supply. 2. see recommended voltages by i/o standard in subsequent table. 3. v ccaux ramp rate must not exceed 30mv/? during power-up when transitioning between 0v and 3.3v. 4. for proper power-up con?uration, users must ensure that the con?uration control signals such as the cfgx, initn, programn a nd done pins are driven to the proper logic levels when the device powers up. the device power-up is triggered by the last of v cc , v ccaux or v ccio8 supplies that reaches its minimum valid levels. alternatively, if the con?uration control signals are pulled up by v ccio8 , the v ccio8 (con?uration i/o bank) voltage must be powered up prior to or at the same time as the last of vcc or vccaux reaches its minimu m levels. 5. for power-up, v cc must reach its valid minimum value before powering up v ccaux (latticeecp2/m ? version devices only). 6. v ccrx ,v cctx and v ccp must be tied together in each quad and all quads need to be powered up. latticeecp2/m family data sheet dc and switching characteristics
3-2 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet hot socketing speci?ations 1, 2, 3, 4 symbol parameter condition min. typ. max. units i dk input or i/o leakage current 0 v in v ih (max.) +/-1000 ? i hdin 5 serdes average input current when device is powered down and inputs are driven 4ma 1. v cc , v ccaux and v ccio should rise/fall monotonically. v cc and v ccpll must be connected to the same power supply (applies to ecp2-6, ecp2-12 and ecp2-20 only). 2. 0 v cc v cc (max), 0 v ccio v ccio (max) or 0 v ccaux v ccaux (max). 3. i dk is additive to i pu , i p w or i bh . 4. lvcmos and lvttl only. 5. assumes that the device is powered down with all supplies grounded, both p and n inputs driven by a cml driver with maximum a llowed v ccib of 1.575v, 8b10b data and internal ac coupling.
3-3 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet dc electrical characteristics over recommended operating conditions symbol parameter condition min. typ. max. units i il , i ih 1 input or i/o low leakage 0 v in (v ccio - 0.2v) 10 ? i ih 1 input or i/o high leakage (v ccio - 0.2v) < v in 3.6v 150 ? i pu i/o active pull-up current 0 v in 0.7 v ccio -30 -210 ? i pd i/o active pull-down current v il (max) v in v ih (max) 30 210 ? i bhls bus hold low sustaining current v in = v il (max) 30 ? i bhhs bus hold high sustaining current v in = 0.7 v ccio -30 ? i bhlo bus hold low overdrive current 0 v in v ccio 210 ? i bhho bus hold high overdrive current 0 v in v ccio -210 ? v bht bus hold trip points 0 v in v ih (max) v il (max) v ih (min) v c1 i/o capacitance 2 v ccio = 3.3v, 2.5v, 1.8v, 1.5v, 1.2v, v cc = 1.2v, v io = 0 to v ih (max) ?pf c2 dedicated input capacitance 2 v ccio = 3.3v, 2.5v, 1.8v, 1.5v, 1.2v, v cc = 1.2v, v io = 0 to v ih (max) ?pf 1. input or i/o leakage current is measured with the pin con?ured as an input or as an i/o with the output driver tri-stated. i t is not measured with the output driver active. bus maintenance circuits are disabled. 2. t a 25 o c, f = 1.0mhz.
3-4 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet latticeecp2 supply current (standby) 1, 2, 3, 4 over recommended operating conditions symbol parameter device typ. 5 units i cc core power supply current ecp2-6 10 ma ecp2-12 20 ma ecp2-20 30 ma ecp2-35 50 ma ecp2-50 70 ma ecp2-70 100 ma i ccaux auxiliary power supply current ecp2-6 24 ma ecp2-12 24 ma ecp2-20 24 ma ecp2-35 24 ma ecp2-50 24 ma ecp2-70 24 ma i ccgpll gpll power supply current (per gpll) ecp2-35, -50, -70 only 0.5 ma i ccspll gpll power supply current (per spll) ecp2-35, -50, -70 only 0.5 ma i ccio bank power supply current (per bank) ecp2-6 2 ma ecp2-12 2 ma ecp2-20 2 ma ecp2-35 2 ma ecp2-50 2 ma ecp2-70 2 ma i ccj vccj power supply current all devices 3 ma 1. for further information about supply current, please see the list of additional technical documentation at the end of this d ata sheet. 2. assumes all outputs are tristated, all inputs are con?ured as lvcmos and held at the v ccio or gnd. 3. frequency 0mhz. 4. pattern represents a ?lank con?uration data ?e. 5. t j = 25?, power supplies at normal voltage.
3-5 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet latticeecp2m supply current (standby) 1, 2, 3, 4 over recommended operating conditions symbol parameter device typ. 5 units i cc core power supply current ecp2m20 25 ma ecp2m35 50 ma ecp2m50 85 ma ecp2m70 100 ma ecp2m100 100 ma i ccaux auxiliary power supply current ecp2m20 24 ma ecp2m35 24 ma ecp2m50 24 ma ecp2m70 24 ma ecp2m100 24 ma i ccgpll gpll power supply current (per gpll) all devices 0.5 ma i ccspll gpll power supply current (per spll) all devices 0.5 ma i ccio bank power supply current (per bank) ecp2m20 2 ma ecp2m35 2 ma ecp2m50 2 ma ecp2m70 2 ma ecp2m100 2 ma i ccj v ccj power supply current all devices 3 ma 1. for further information about supply current, please see the list of additional technical documentation at the end of this d ata sheet. 2. assumes all outputs are tristated, all inputs are con?ured as lvcmos and held at the v ccio or gnd. 3. frequency 0mhz. 4. pattern represents a ?lank con?uration data ?e. 5. t j = 25?, power supplies at normal voltage.
3-6 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet latticeecp2 initialization supply current 1, 2, 3, 4 over recommended operating conditions symbol parameter device typ. 5, 6 units i cc core power supply current ecp2-6 34 ma ecp2-12 54 ma ecp2-20 82 ma ecp2-35 135 ma ecp2-50 187 ma ecp2-70 267 ma i ccaux auxiliary power supply current ecp2-6 30 ma ecp2-12 30 ma ecp2-20 30 ma ecp2-35 30 ma ecp2-50 30 ma ecp2-70 30 ma i ccgpll gpll power supply current (per gpll) ecp2-35, -50, -70 only 0.5 ma i ccspll spll power supply current (per spll) ecp2-35, -50, -70 only 0.5 ma i ccio bank power supply current (per bank) all devices 3 ma i ccj vccj power supply current all devices 4 ma 1. until done signal is active. 2. for further information about supply current, please see the list of additional technical documentation at the end of this da ta sheet. 3. assumes all outputs are tristated, all inputs are con?ured as lvcmos and held at the v ccio or gnd. 4. frequency 0mhz. 5. t j = 25 o c, power supplies at nominal voltage. 6. a speci? con?uration pattern is used that scales with the size of the device; consists of 75% pfu utilization, 50% ebr, and 25% i/o con- ?uration.
3-7 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet latticeecp2m initialization supply current 1, 2, 3, 4 over recommended operating conditions symbol parameter device typ. 5, 6 units i cc core power supply current ecp2m20 41 ma ecp2m35 107 ma ecp2m50 169 ma ecp2m70 254 ma ecp2m100 378 ma i ccaux auxiliary power supply current ecp2m20 30 ma ecp2m35 30 ma ecp2m50 30 ma ecp2m70 30 ma ecp2m100 30 ma i ccgpll gpll power supply current (per gpll) all devices 0.5 ma i ccspll spll power supply current (per spll) all devices 0.5 ma i ccio bank power supply current (per bank) all devices 3 ma i ccj vccj power supply current all devices 4 ma 1. until done signal is active. 2. for further information about supply current, please see the list of additional technical documentation at the end of this da ta sheet. 3. assumes all outputs are tristated, all inputs are con?ured as lvcmos and held at the v ccio or gnd. 4. frequency 0mhz. 5. t j = 25 o c, power supplies at nominal voltage. 6. a speci? con?uration pattern is used that scales with the size of the device; consists of 75% pfu utilization, 50% ebr, and 25% i/o con- ?uration.
3-8 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet serdes power supply re q uirements (latticeecp2m family only) 1 over recommended operating conditions serdes power (latticeecp2m family only) table 3-1 presents the serdes power for one channel. table 3-1. serdes power 1 symbol description typ. 2 units standby (power down) i cctx-sb v cctx current (per channel) 10 ? i ccrx-sb v ccrx current (per channel) 75 ? i ccib-sb input buffer current (per channel) 0 a i ccob-sb output buffer current (per channel) 0 a i ccp-sb serdes pll current (per quad) 30 ? i ccax33-sb serdes termination current (per quad) 10 ? operating (data rate = 3.125 gbps) i cctx-op v cctx current (per channel) 19 ma i ccrx-op v ccrx current (per channel) 34 ma i ccib-op input buffer current (per channel) 4 ma i ccob-op output buffer current (per channel) 13 ma i ccp-op serdes pll current (per quad) 26 ma i ccax33-op serdes termination current (per quad) 0.01 ma 1. equalization enabled, pre-emphasis disabled. 2. t j = 25?, power supplies at nominal voltage. symbol description typ. 2 units p s-1ch-31 serdes power (one channel @ 3.125 gbps) 90 m w p s-1ch-25 serdes power (one channel @ 2.5 gbps) 87 m w p s-1ch-12 serdes power (one channel @ 1.25 gbps) 86 m w p s-1ch-02 serdes power (one channel @ 250 mbps) 76 m w 1. one quarter of the total quad power (includes contribution from common circuits, all channels in the quad operating, pre-emph asis dis- abled, equalization enabled). 2. typical values measured at 25 o c and 1.2v.
3-9 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet sysi/o recommended operating conditions standard v ccio v ref (v) min. typ. max. min. typ. max. lvcmos 3.3 2 3.135 3.3 3.465 lvcmos 2.5 2 2.375 2.5 2.625 lvcmos 1.8 1.71 1.8 1.89 lvcmos 1.5 1.425 1.5 1.575 lvcmos 1.2 2 1.14 1.2 1.26 lvttl 2 3.135 3.3 3.465 pci 3.135 3.3 3.465 sstl18 2 class i, ii 1.71 1.8 1.89 0.833 0.9 0.969 sstl2 2 class i, ii 2.375 2.5 2.625 1.15 1.25 1.35 sstl3 2 class i, ii 3.135 3.3 3.465 1.3 1.5 1.7 hstl 2 15 class i 1.425 1.5 1.575 0.68 0.75 0.9 hstl 2 18 class i, ii 1.71 1.8 1.89 0.816 0.9 1.08 lvds 2 2.375 2.5 2.625 mlvds25 1 2.375 2.5 2.625 lvpecl33 1, 2 3.135 3.3 3.465 blvds25 1, 2 2.375 2.5 2.625 rsds 1, 2 2.375 2.5 2.625 sstl18d_i 2 , ii 2 1.71 1.8 1.89 sstl25d_ i 2 , ii 2 2.375 2.5 2.625 sstl33d_ i 2 , ii 2 3.135 3.3 3.465 hstl15d_ i 2 1.425 1.5 1.575 hstl18d_ i 2 , ii 2 1.71 1.8 1.89 1. inputs on chip. outputs are implemented with the addition of external resistors. 2. input on this standard does not depend on the value of v ccio .
3-10 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet sysi/o single-ended dc electrical characteristics input/output standard v il v ih v ol max. (v) v oh min. (v) i ol 1 (ma) i oh 1 (ma) min. (v) max. (v) min. (v) max. (v) lvcmos 3.3 -0.3 0.8 2.0 3.6 0.4 v ccio - 0.4 20, 16, 12, 8, 4 -20, -16, -12, -8, -4 0.2 v ccio - 0.2 0.1 -0.1 lvttl -0.3 0.8 2.0 3.6 0.4 v ccio - 0.4 20, 16, 12, 8, 4 -20, -16, -12, -8, -4 0.2 v ccio - 0.2 0.1 -0.1 lvcmos 2.5 -0.3 0.7 1.7 3.6 0.4 v ccio - 0.4 20, 16, 12, 8, 4 -20, -16, -12, -8, -4 0.2 v ccio - 0.2 0.1 -0.1 lvcmos 1.8 -0.3 0.35 v ccio 0.65 v ccio 3.6 0.4 v ccio - 0.4 16, 12, 8, 4 -16, -12, -8, -4 0.2 v ccio - 0.2 0.1 -0.1 lvcmos 1.5 -0.3 0.35 v ccio 0.65 v ccio 3.6 0.4 v ccio - 0.4 8, 4 -8, -4 0.2 v ccio - 0.2 0.1 -0.1 lvcmos 1.2 -0.3 0.35 v cc 0.65 v cc 3.6 0.4 v ccio - 0.4 6, 2 -6, -2 0.2 v ccio - 0.2 0.1 -0.1 pci -0.3 0.3 v ccio 0.5 v ccio 3.6 0.1 v ccio 0.9 v ccio 1.5 -0.5 sstl3 class i -0.3 v ref - 0.2 v ref + 0.2 3.6 0.7 v ccio - 1.1 8 -8 sstl3 class ii -0.3 v ref - 0.2 v ref + 0.2 3.6 0.5 v ccio - 0.9 16 -16 sstl2 class i -0.3 v ref - 0.18 v ref + 0.18 3.6 0.54 v ccio - 0.62 7.6 -7.6 12 -12 sstl2 class ii -0.3 v ref - 0.18 v ref + 0.18 3.6 0.35 v ccio - 0.43 15.2 -15.2 20 -20 sstl18 class i -0.3 v ref - 0.125 v ref + 0.125 3.6 0.4 v ccio - 0.4 6.7 -6.7 sstl18 class ii -0.3 v ref - 0.125 v ref + 0.125 3.6 0.28 v ccio - 0.28 8-8 11 -11 hstl class i -0.3 v ref - 0.1 v ref + 0.1 3.6 0.4 v ccio - 0.4 4-4 8-8 hstl18 class i -0.3 v ref - 0.1 v ref + 0.1 3.6 0.4 v ccio - 0.4 8-8 12 -12 hstl18 class ii -0.3 v ref - 0.1 v ref + 0.1 3.6 0.4 v ccio - 0.4 16 -16 1. the average dc current drawn by i/os between gnd connections, or between the last gnd in an i/o bank and the end of an i/o ba nk, as shown in the logic signal connections table shall not exceed n * 8ma, where n is the number of i/os between bank gnd connection s or between the last gnd in a bank and the end of a bank.
3-11 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet sysi/o differential electrical characteristics lvds over recommended operating conditions differential hstl and sstl differential hstl and sstl outputs are implemented as a pair of complementary single-ended outputs. all allow- able single-ended output classes (class i and class ii) are supported in this mode. for further information about lvpecl, rsds, mlvds, blvds and other differential interfaces please see the list of additional technical information at the end of this data sheet. parameter description test conditions min. typ. max. units v inp , v inm input voltage 0 2.4 v v cm input common mode voltage half the sum of the two inputs 0.05 2.35 v v thd differential input threshold difference between the two inputs +/-100 mv i in input current power on or power off +/-10 ? v oh output high voltage for v op or v om r t = 100 ohm 1.38 1.60 v v ol output low voltage for v op or v om r t = 100 ohm 0.9v 1.03 v v od output voltage differential (v op - v om ), r t = 100 ohm 250 350 450 mv v od change in v od between high and low 50 mv v os output voltage offset (v op + v om )/2, r t = 100 ohm 1.125 1.20 1.375 v v os change in v os between h and l 50 mv i sa output short circuit current v od = 0v driver outputs shorted to ground 24 ma i sab output short circuit current v od = 0v driver outputs shorted to each other 12 ma
3-12 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet lvds25e the top and bottom sides of latticeecp2/m devices support lvds outputs via emulated complementary lvcmos outputs in conjunction with a parallel resistor across the driver outputs. the scheme shown in figure 3-1 is one possible solution for point-to-point signals. figure 3-1. lvds25e output termination example table 3-2. lvds25e dc conditions lvcmos33d all i/o banks support emulated differential i/o using the lvcmos33d i/o type. this option, along with the external resistor network, provides the system designer the ?xibility to place differential outputs on an i/o bank with 3.3v vccio. the default drive current for lvcmos33d output is 12ma with the option to change the device strength to 4ma, 8ma, 16ma or 20ma. follow the lvcmos33 speci?ations for the dc characteristics of the lvcmos33d. parameter description typical units v ccio output driver supply (+/-5%) 2.50 v z out driver impedance 20 r s driver series resistor (+/-1%) 158 r p driver parallel resistor (+/-1%) 140 r t receiver termination (+/-1%) 100 v oh output high voltage 1.43 v v ol output low voltage 1.07 v v od output differential voltage 0.35 v v cm output common mode voltage 1.25 v z back back impedance 100.5 i dc dc output current 6.03 ma + - rs=15 8 ohms (? % ) rs=15 8 ohms (? % ) rp = 140 ohms (? % ) rt = 100 ohms (? % ) off-chip transmission line, zo = 100 ohm differential v ccio = 2.5 v (? % ) 8 ma v ccio = 2.5 v (? % ) o n -chip off-chip o n -chip 8 ma
3-13 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet blvds the latticeecp2/m devices support the blvds standard. this standard is emulated using complementary lvc- mos outputs in conjunction with a parallel external resistor across the driver outputs. blvds is intended for use when multi-drop and bi-directional multi-point differential signaling is required. the scheme shown in figure 3-2 is one possible solution for bi-directional multi-point differential signals. figure 3-2. blvds multi-point output example table 3-3. blvds dc conditions 1 over recommended operating conditions parameter description typical units zo = 45 zo = 90 v ccio output driver supply (+/- 5%) 2.50 2.50 v z out driver impedance 10.00 10.00 r s driver series resistor (+/- 1%) 90.00 90.00 r tl driver parallel resistor (+/- 1%) 45.00 90.00 r tr receiver termination (+/- 1%) 45.00 90.00 v oh output high voltage 1.38 1.48 v v ol output low voltage 1.12 1.02 v v od output differential voltage 0.25 0.46 v v cm output common mode voltage 1.25 1.25 v i dc dc output current 11.24 10.20 ma 1. for input buffer, see lvds table. heavily loaded backplane, effective zo ~ 45 to 90 ohms differential 2.5v r tl r tr r s = 90 ohms r s = 90 ohms r s = 90 ohms r s = 90 ohms r s = 90 ohms r s = 90 ohms r s = 90 ohms r s = 90 ohms 45-90 ohms 45-90 ohms 2.5v 2.5v 2.5v 2.5v 2.5v 2.5v 2.5v + - . . . + - . . . + - + - 16ma 16ma 16ma 16ma 16ma 16ma 16ma 16ma
3-14 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet lvpecl the latticeecp2/m devices support the differential lvpecl standard. this standard is emulated using comple- mentary lvcmos outputs in conjunction with a parallel resistor across the driver outputs. the lvpecl input stan- dard is supported by the lvds differential input buffer. the scheme shown in figure 3-3 is one possible solution for point-to-point signals. figure 3-3. differential lvpecl table 3-4. lvpecl dc conditions 1 over recommended operating conditions parameter description typical units v ccio output driver supply (+/-5%) 3.30 v z out driver impedance 10 r s driver series resistor (+/-1%) 93 r p driver parallel resistor (+/-1%) 196 r t receiver termination (+/-1%) 100 v oh output high voltage 2.05 v v ol output low voltage 1.25 v v od output differential voltage 0.80 v v cm output common mode voltage 1.65 v z back back impedance 100.5 i dc dc output current 12.11 ma 1. for input buffer, see lvds table. transmission line, zo = 100 ohm differential off-chip on-chip v ccio = 3.3v (+/-5%) v ccio = 3.3v (+/-5%) r p = 196 ohms (+/-1%) r t = 100 ohms (+/-1%) r s = 93.1 ohms (+/-1%) r s = 93.1 ohms (+/-1%) 16ma 16ma + - off-chip on-chip
3-15 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet rsds the latticeecp2/m devices support differential rsds standard. this standard is emulated using complementary lvcmos outputs in conjunction with a parallel resistor across the driver outputs. the rsds input standard is sup- ported by the lvds differential input buffer. the scheme shown in figure 3-4 is one possible solution for rsds standard implementation. resistor values in figure 3-4 are industry standard values for 1% resistors. figure 3-4. rsds (reduced swing differential signaling) table 3-5. rsds dc conditions 1 over recommended operating conditions parameter description typical units v ccio output driver supply (+/-5%) 2.50 v z out driver impedance 20 r s driver series resistor (+/-1%) 294 r p driver parallel resistor (+/-1%) 121 r t receiver termination (+/-1%) 100 v oh output high voltage 1.35 v v ol output low voltage 1.15 v v od output differential voltage 0.20 v v cm output common mode voltage 1.25 v z back back impedance 101.5 i dc dc output current 3.66 ma 1. for input buffer, see lvds table. r s = 294 ohms (+/-1%) r s = 294 ohms (+/-1%) r p = 121 ohms (+/-1%) r t = 100 ohms (+/-1%) on-chip on-chip 8ma 8ma v ccio = 2.5v (+/-5%) v ccio = 2.5v (+/-5%) transmission line, zo = 100 ohm differential + - off-chip off-chip
3-16 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet mlvds the latticeecp2/m devices support the differential mlvds standard. this standard is emulated using complemen- tary lvcmos outputs in conjunction with a parallel resistor across the driver outputs. the mlvds input standard is supported by the lvds differential input buffer. the scheme shown in figure 3-5 is one possible solution for mlvds standard implementation. resistor values in figure 3-5 are industry standard values for 1% resistors. figure 3-5. mlvds (multipoint low voltage differential signaling) table 3-6. mlvds dc conditions 1 for further information about lvpecl, rsds, mlvds, blvds and other differential interfaces please see the list of additional technical information at the end of this data sheet. parameter description typical units zo=50 zo=70 v ccio output driver supply (+/-5%) 2.50 2.50 v z out driver impedance 10.00 10.00 r s driver series resistor (+/-1%) 35.00 35.00 r tl driver parallel resistor (+/-1%) 50.00 70.00 r tr receiver termination (+/-1%) 50.00 70.00 v oh output high voltage 1.52 1.60 v v ol output low voltage 0.98 0.90 v v od output differential voltage 0.54 0.70 v v cm output common mode voltage 1.25 1.25 v i dc dc output current 21.74 20.00 ma 1. for input buffer, see lvds table. 16ma 2.5v 2.5v + - 2.5v 2.5v + - 2.5v 2.5v + - . . . . . . a m 6 1 heavily loaded backplace, effective zo~50 to 70 ohms differential 50 to 70 ohms +/-1% 50 to 70 ohms +/-1% r s = 35ohms r s = 35ohms r s = 35ohms r s = 35ohms r s = 35ohms r s = 35ohms r s = 35ohms r s = 35ohms r tr r tl 16ma 2.5v a m 6 1 2.5v + - a m 6 1 2.5v a m 6 1 2.5v + - 16ma 16ma
3-17 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet typical building block function performance 1 pin-to-pin performance (lvcmos25 12ma drive) function -7 timing units basic functions 16-bit decoder 3.8 ns 32-bit decoder 4.5 ns 64-bit decoder 5.0 ns 4:1 mux 3.2 ns 8:1 mux 3.4 ns 16:1 mux 3.5 ns 32:1 mux 4.0 ns 1. these timing numbers were generated using the isplever design tool. exact performance may vary with device and tool version. the tool uses internal parameters that have been characterized but are not tested on every device. timing v.a 0.11 register-to-register performance function -7 timing units basic functions 16-bit decoder 599 mhz 32-bit decoder 542 mhz 64-bit decoder 417 mhz 4:1 mux 847 mhz 8:1 mux 803 mhz 16:1 mux 660 mhz 32:1 mux 577 mhz 8-bit adder 591 mhz 16-bit adder 500 mhz 64-bit adder 306 mhz 16-bit counter 488 mhz 32-bit counter 378 mhz 64-bit counter 260 mhz 64-bit accumulator 253 mhz embedded memory functions 512x36 single port ram, ebr output registers 370 mhz 1024x18 true-dual port ram ( w rite through or normal, ebr output regis- ters) 370 mhz 1024x18 true-dual port ram ( w rite through or normal, plc output registers) 280 mhz distributed memory functions 16x4 pseudo-dual port ram (one pfu) 819 mhz 32x4 pseudo-dual port ram 521 mhz 64x8 pseudo-dual port ram 435 mhz dsp functions 18x18 multiplier (all registers) 420 mhz
3-18 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet derating timing tables logic timing provided in the following sections of this data sheet and the isplever design tools are worst case numbers in the operating range. actual delays at nominal temperature and voltage for best case process, can be much better than the values given in the tables. the isplever design tool can provide logic timing numbers at a particular temperature and voltage. 9x9 multiplier (all registers) 420 mhz 36x36 multiplier (all registers) 372 mhz 18x18 multiplier/accumulate (input and output registers) 295 mhz 18x18 multiplier-add/sub-sum (all reg- isters) 420 mhz dsp ip functions 16-tap fully-parallel fir filter 304 mhz 1024-pt, radix 4, decimation in frequency fft 227 mhz 8x8 matrix multiplier 223 mhz timing v.a 0.11 register-to-register performance (continued) function -7 timing units
3-19 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet latticeecp2/m external switching characteristics 9 over recommended operating conditions parameter description device -7 -6 -5 units min. max. min. max. min. max. general i/o pin parameters (using primary clock without pll) 1 t co clock to output - pio output register lfe2-6 3.50 3.90 4.20 ns lfe2-12 3.50 3.90 4.20 ns lfe2-20 3.50 3.90 4.20 ns lfe2-35 3.50 3.90 4.20 ns lfe2-50 3.50 3.90 4.20 ns lfe2-70 3.70 4.10 4.40 ns lfe2m20 3.90 4.30 4.70 ns lfe2m35 3.90 4.30 4.70 ns lfe2m50 4.50 5.00 5.40 ns lfe2m70 4.50 5.00 5.40 ns lfe2m100 4.50 5.00 5.40 ns t su clock to data setup - pio input register lfe2-6 0.00 0.00 0.00 ns lfe2-12 0.00 0.00 0.00 ns lfe2-20 0.00 0.00 0.00 ns lfe2-35 0.00 0.00 0.00 ns lfe2-50 0.00 0.00 0.00 ns lfe2-70 0.00 0.00 0.00 ns lfe2m20 0.00 0.00 0.00 ns lfe2m35 0.00 0.00 0.00 ns lfe2m50 0.00 0.00 0.00 ns lfe2m70 0.00 0.00 0.00 ns lfe2m100 0.00 0.00 0.00 ns t h clock to data hold - pio input register lfe2-6 1.40 1.70 1.90 ns lfe2-12 1.40 1.70 1.90 ns lfe2-20 1.40 1.70 1.90 ns lfe2-35 1.40 1.70 1.90 ns lfe2-50 1.40 1.70 1.90 ns lfe2-70 1.40 1.70 1.90 ns lfe2m20 1.40 1.70 1.90 ns lfe2m35 1.40 1.70 1.90 ns lfe2m50 1.80 2.10 2.30 ns lfe2m70 1.80 2.10 2.30 ns lfe2m100 1.80 2.10 2.30 ns
3-20 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet t su_del clock to data setup - pio input register with data input delay lfe2-6 1.40 1.70 1.90 ns lfe2-12 1.40 1.70 1.90 ns lfe2-20 1.40 1.70 1.90 ns lfe2-35 1.40 1.70 1.90 ns lfe2-50 1.40 1.70 1.90 ns lfe2-70 1.40 1.70 1.90 ns lfe2m20 1.40 1.70 1.90 ns lfe2m35 1.40 1.70 1.90 ns lfe2m50 1.40 1.70 1.90 ns lfe2m70 1.40 1.70 1.90 ns lfe2m100 1.40 1.70 1.90 ns t h_del clock to data hold - pio input reg- ister with input data delay lfe2-6 0.00 0.00 0.00 ns lfe2-12 0.00 0.00 0.00 ns lfe2-20 0.00 0.00 0.00 ns lfe2-35 0.00 0.00 0.00 ns lfe2-50 0.00 0.00 0.00 ns lfe2-70 0.00 0.00 0.00 ns lfe2m20 0.00 0.00 0.00 ns lfe2m35 0.00 0.00 0.00 ns lfe2m50 0.00 0.00 0.00 ns lfe2m70 0.00 0.00 0.00 ns lfe2m100 0.00 0.00 0.00 ns f max_io clock frequency of i/o register and pfu register ecp2/m 420 357 311 mhz general i/o pin parameters (using edge clock without pll) 1 t coe clock to output - pio output register lfe2-6 2.60 2.90 3.20 ns lfe2-12 2.60 2.90 3.20 ns lfe2-20 2.60 2.90 3.20 ns lfe2-35 2.60 2.90 3.20 ns lfe2-50 2.60 2.90 3.20 ns lfe2-70 2.60 2.90 3.20 ns lfe2m20 2.60 2.90 3.20 ns lfe2m35 2.60 2.90 3.20 ns lfe2m50 3.10 3.40 3.70 ns lfe2m70 3.10 3.40 3.70 ns lfe2m100 3.10 3.40 3.70 ns latticeecp2/m external switching characteristics 9 (continued) over recommended operating conditions parameter description device -7 -6 -5 units min. max. min. max. min. max.
3-21 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet t sue clock to data setup - pio input register lfe2-6 0.00 0.00 0.00 ns lfe2-12 0.00 0.00 0.00 ns lfe2-20 0.00 0.00 0.00 ns lfe2-35 0.00 0.00 0.00 ns lfe2-50 0.00 0.00 0.00 ns lfe2-70 0.00 0.00 0.00 ns lfe2m20 0.00 0.00 0.00 ns lfe2m35 0.00 0.00 0.00 ns lfe2m50 0.00 0.00 0.00 ns lfe2m70 0.00 0.00 0.00 ns lfe2m100 0.00 0.00 0.00 ns t he clock to data hold - pio input register lfe2-6 0.90 1.10 1.30 ns lfe2-12 0.90 1.10 1.30 ns lfe2-20 0.90 1.10 1.30 ns lfe2-35 0.90 1.10 1.30 ns lfe2-50 0.90 1.10 1.30 ns lfe2-70 0.90 1.10 1.30 ns lfe2m20 0.90 1.10 1.30 ns lfe2m35 0.90 1.10 1.30 ns lfe2m50 1.20 1.40 1.60 ns lfe2m70 1.20 1.40 1.60 ns lfe2m100 1.20 1.40 1.60 ns t su_dele clock to data setup - pio input register with data input delay lfe2-6 1.00 1.30 1.60 ns lfe2-12 1.00 1.30 1.60 ns lfe2-20 1.00 1.30 1.60 ns lfe2-35 1.00 1.30 1.60 ns lfe2-50 1.00 1.30 1.60 ns lfe2-70 1.00 1.30 1.60 ns lfe2m20 1.20 1.60 1.90 ns lfe2m35 1.20 1.60 1.90 ns lfe2m50 1.20 1.60 1.90 ns lfe2m70 1.20 1.60 1.90 ns lfe2m100 1.20 1.60 1.90 ns latticeecp2/m external switching characteristics 9 (continued) over recommended operating conditions parameter description device -7 -6 -5 units min. max. min. max. min. max.
3-22 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet t h_dele clock to data hold - pio input register with input data delay lfe2-6 0.00 0.00 0.00 ns lfe2-12 0.00 0.00 0.00 ns lfe2-20 0.00 0.00 0.00 ns lfe2-35 0.00 0.00 0.00 ns lfe2-50 0.00 0.00 0.00 ns lfe2-70 0.00 0.00 0.00 ns lfe2m20 0.00 0.00 0.00 ns lfe2m35 0.00 0.00 0.00 ns lfe2m50 0.00 0.00 0.00 ns lfe2m70 0.00 0.00 0.00 ns lfe2m100 0.00 0.00 0.00 ns f max_ioe clock frequency of i/o and pfu register ecp2/m 420 357 311 mhz general i/o pin parameters (using primary clock with pll) 1 t copll 10 clock to output - pio output register lfe2-6 2.30 2.60 2.80 ns lfe2-12 2.30 2.60 2.80 ns lfe2-20 2.30 2.60 2.80 ns lfe2-35 2.30 2.60 2.80 ns lfe2-50 2.30 2.60 2.80 ns lfe2-70 2.30 2.60 2.80 ns lfe2m20 2.30 2.60 2.80 ns lfe2m35 2.30 2.60 2.80 ns lfe2m50 2.60 2.90 3.10 ns lfe2m70 2.60 2.90 3.10 ns lfe2m100 2.70 3.00 3.20 ns t supll clock to data setup - pio input register lfe2-6 0.70 0.80 0.90 ns lfe2-12 0.70 0.80 0.90 ns lfe2-20 0.70 0.80 0.90 ns lfe2-35 0.70 0.80 0.90 ns lfe2-50 0.70 0.80 0.90 ns lfe2-70 0.70 0.80 0.90 ns lfe2m20 0.70 0.80 0.90 ns lfe2m35 0.70 0.80 0.90 ns lfe2m50 0.70 0.80 0.90 ns lfe2m70 0.70 0.80 0.90 ns lfe2m100 0.80 0.90 1.00 ns latticeecp2/m external switching characteristics 9 (continued) over recommended operating conditions parameter description device -7 -6 -5 units min. max. min. max. min. max.
3-23 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet t hpll clock to data hold - pio input register lfe2-6 1.00 1.20 1.40 ns lfe2-12 1.00 1.20 1.40 ns lfe2-20 1.00 1.20 1.40 ns lfe2-35 1.00 1.20 1.40 ns lfe2-50 1.00 1.20 1.40 ns lfe2-70 1.00 1.20 1.40 ns lfe2m20 1.00 1.20 1.40 ns lfe2m35 1.00 1.20 1.40 ns lfe2m50 1.00 1.20 1.40 ns lfe2m70 1.00 1.20 1.40 ns lfe2m100 1.00 1.20 1.40 ns t su_delpll clock to data setup - pio input register with data input delay lfe2-6 1.80 2.00 2.20 ns lfe2-12 1.80 2.00 2.20 ns lfe2-20 1.80 2.00 2.20 ns lfe2-35 1.80 2.00 2.20 ns lfe2-50 1.80 2.00 2.20 ns lfe2-70 1.80 2.00 2.20 ns lfe2m20 1.80 2.00 2.20 ns lfe2m35 1.80 2.00 2.20 ns lfe2m50 1.90 2.10 2.30 ns lfe2m70 1.90 2.10 2.30 ns lfe2m100 2.00 2.20 2.40 ns t h_delpll clock to data hold - pio input register with input data delay lfe2-6 0.00 0.00 0.00 ns lfe2-12 0.00 0.00 0.00 ns lfe2-20 0.00 0.00 0.00 ns lfe2-35 0.00 0.00 0.00 ns lfe2-50 0.00 0.00 0.00 ns lfe2-70 0.00 0.00 0.00 ns lfe2m20 0.00 0.00 0.00 ns lfe2m35 0.00 0.00 0.00 ns lfe2m50 0.00 0.00 0.00 ns lfe2m70 0.00 0.00 0.00 ns lfe2m100 0.00 0.00 0.00 ns ddr i/o pin parameters 2 t dvadq data valid after dqs (ddr read) ecp2/m 0.225 0.225 0.225 ui t dvedq data hold after dqs (ddr read) ecp2/m 0.640 0.640 0.640 ui t dqvbs data valid before dqs (ddr w rite) ecp2/m 0.250 0.250 0.250 ui t dqvas data valid after dqs (ddr w rite) ecp2/m 0.250 0.250 0.250 ui f max_ddr ddr clock frequency 6 ecp2/m 95 200 95 166 95 133 mhz ddr2 i/o pin parameters 3 t dvadq data valid after dqs (ddr read) ecp2/m 0.225 0.225 0.225 ui t dvedq data hold after dqs (ddr read) ecp2/m 0.640 0.640 0.640 ui latticeecp2/m external switching characteristics 9 (continued) over recommended operating conditions parameter description device -7 -6 -5 units min. max. min. max. min. max.
3-24 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet t dqvbs data valid before dqs (ddr w rite) ecp2/m 0.250 0.250 0.250 ui t dqvas data valid after dqs (ddr w rite) ecp2/m 0.250 0.250 0.250 ui f max_ddr2 ddr clock frequency ecp2/m 133 266 133 200 133 166 mhz spi4.2 i/o pin parameters static alignment 4, 8, 11 maximum data rate ecp2-20 750 622 622 mbps ecp2-35 750 622 622 mbps ecp2-50 750 622 622 mbps ecp2-70 750 622 622 mbps ecp2m20 622 622 622 mbps ecp2m35 622 622 622 mbps ecp2m50 622 622 622 mbps ecp2m70 622 622 622 mbps ecp2m100 622 622 622 mbps t dvaclkspi data valid after clk (receive) ecp2-20 0.25 0.25 0.25 ui ecp2-35 0.25 0.25 0.25 ui ecp2-50 0.25 0.25 0.25 ui ecp2-70 0.25 0.25 0.25 ui ecp2m20 0.21 0.21 0.21 ui ecp2m35 0.21 0.21 0.21 ui ecp2m50 0.21 0.21 0.21 ui ecp2m70 0.21 0.21 0.21 ui ecp2m100 0.21 0.21 0.21 ui t dveclkspi data hold after clk (receive) ecp2-20 0.75 0.75 0.75 ui ecp2-35 0.75 0.75 0.75 ui ecp2-50 0.75 0.75 0.75 ui ecp2-70 0.75 0.75 0.75 ui ecp2m20 0.79 0.79 0.79 ui ecp2m35 0.79 0.79 0.79 ui ecp2m50 0.79 0.79 0.79 ui ecp2m70 0.79 0.79 0.79 ui ecp2m100 0.79 0.79 0.79 ui t diaspi data invalid after clock (transmit) ecp2-20 280 280 280 ps ecp2-35 280 280 280 ps ecp2-50 280 280 280 ps ecp2-70 280 280 280 ps ecp2m20 230 230 230 ps ecp2m35 230 230 230 ps ecp2m50 230 230 230 ps ecp2m70 230 230 230 ps ecp2m100 230 230 230 ps latticeecp2/m external switching characteristics 9 (continued) over recommended operating conditions parameter description device -7 -6 -5 units min. max. min. max. min. max.
3-25 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet t dibspi data invalid before clock (transmit) ecp2-20 280 280 280 ps ecp2-35 280 280 280 ps ecp2-50 280 280 280 ps ecp2-70 280 280 280 ps ecp2m20 230 230 230 ps ecp2m35 230 230 230 ps ecp2m50 230 230 230 ps ecp2m70 230 230 230 ps ecp2m100 230 230 230 ps xgmii i/o pin parameters (312 mbps) 5 t suxgmii data setup before read clock ecp2/m 480 480 480 ps t hxgmii data hold after read clock ecp2/m 480 480 480 ps t dvbckxgmii data valid before clock ecp2/m 960 960 960 ps t dvackxgmii data valid after clock ecp2/m 960 960 960 ps primary f max_pri 7 frequency for primary clock tree ecp2/m 420 357 311 mhz t w _pri clock pulse w idth for primary clock ecp2/m 0.95 1.19 2.00 ns t ske w _pri primary clock skew w ithin a bank ecp2/m 300 360 420 ps edge clock f max_edge 7 frequency for edge clock ecp2/m 420 357 311 mhz t w _edge clock pulse w idth for edge clock ecp2/m 0.95 1.19 2.00 ns t ske w _edge edge clock skew w ithin an edge of the device ecp2/m 300 360 420 ps 1. general timing numbers based on lvcmos 2.5, 12ma, 0pf load. 2. ddr timing numbers based on sstl25 for bga packages only. 3. ddr2 timing numbers based on sstl18 for bga packages only. 4. spi4.2 and sfi4 timing numbers based on lvds25 for bga packages only. 5. xgmii timing numbers based on hstl class i. a corresponding left/right dedicated clock buffer is used when using the spi4.2 i nterface to the left or right edge of the device. for spi4.2 mode, the software tool will help in selecting the appropriate clock buffer. 6. ip will be used to support ddr and ddr2 memory data rates down to 95mhz. this approach uses a free-running clock and pfu regi ster to sample the data instead of the hardwired ddr memory interface. 7. using the lvds i/o standard. 8. ecp2-6 and ecp2-12 do not support spi4.2 9. the ac numbers do not apply to pclk6 and pclk7. 10. applies to clkop only. 11. please refer to tn1159, latticeecp2/m pin assignment recommendations for best performance. timing v.a 0.11 latticeecp2/m external switching characteristics 9 (continued) over recommended operating conditions parameter description device -7 -6 -5 units min. max. min. max. min. max.
3-26 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet figure 3-6. spi4.2 parameters transmit parameters receiver parameters t dvaclkspi t dveclkspi t diaspi t dibspi t diaspi t dibspi data (rdat,rctl) rdtclk t dveclkspi t dvaclkspi clk data (tdat, tctl)
3-27 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet figure 3-7. ddr and ddr2 parameters figure 3-8. xgmii parameters transmit parameters receiver parameters t dqvbs t dqvas t dqvbs t dqvas dqs dq dqs dq t dvadq t dvedq t dvedq t dvadq transmit parameters receiver parameters t t t t clock data clock data t suxgmii t hxgmii t suxgmii t hxgmii d v bckxgmii d v ackxgmii d v ackxgmii d v bckxgmii
3-28 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet latticeecp2/m internal switching characteristics 1 over recommended operating conditions parameter description -7 -6 -5 units min. max. min. max. min. max. pfu/pff logic mode timing t lut4_pfu lut4 delay (a to d inputs to f output) 0.180 0.198 0.216 ns t lut6_pfu lut6 delay (a to d inputs to ofx output) 0.304 0.331 0.358 ns t lsr_pfu set/reset to output of pfu (asynchro- nous) 0.600 0.655 0.711 ns t sum_pfu clock to mux (m0,m1) input setup time 0.128 0.129 0.129 ns t hm_pfu clock to mux (m0,m1) input hold time -0.051 -0.049 -0.046 ns t sud_pfu clock to d input setup time 0.061 0.071 0.081 ns t hd_pfu clock to d input hold time 0.002 0.003 0.003 ns t ck2q_pfu clock to q delay, (d-type register con?u- ration) 0.285 0.309 0.333 ns pfu dual port memory mode timing t coram_pfu clock to output (f port) 0.902 1.083 1.263 ns t sudata_pfu data setup time -0.172 -0.205 -0.238 ns t hdata_pfu data hold time 0.199 0.235 0.271 ns t suaddr_pfu address setup time -0.245 -0.284 -0.323 ns t haddr_pfu address hold time 0.246 0.285 0.324 ns t su w ren_pfu w rite/read enable setup time -0.122 -0.145 -0.168 ns t h w ren_pfu w rite/read enable hold time 0.132 0.156 0.180 ns pic timing pio input/output buffer timing t in_pio input buffer delay (lvcmos25) 0.613 0.681 0.749 ns t out_pio output buffer delay (lvcmos25) 1.115 1.115 1.343 ns iologic input/output timing t sui_pio input register setup time (data before clock) 0.596 0.645 0.694 ns t hi_pio input register hold time (data after clock) -0.570 -0.614 -0.658 ns t coo_pio output register clock to output delay 0.61 0.66 0.72 ns t suce_pio input register clock enable setup time 0.032 0.037 0.041 ns t hce_pio input register clock enable hold time -0.022 -0.025 -0.028 ns t sulsr_pio set/reset setup time 0.184 0.201 0.217 ns t hlsr_pio set/reset hold time -0.080 -0.086 -0.093 ns ebr timing t co_ebr clock (read) to output from address or data 2.51 2.75 2.99 ns t coo_ebr clock ( w rite) to output from ebr output register 0.33 0.36 0.39 ns t sudata_ebr setup data to ebr memory -0.157 -0.181 -0.205 ns t hdata_ebr hold data to ebr memory 0.173 0.195 0.217 ns t suaddr_ebr setup address to ebr memory -0.115 -0.130 -0.145 ns t haddr_ebr hold address to ebr memory 0.138 0.155 0.172 ns t su w ren_ebr setup w rite/read enable to pfu memory -0.128 -0.149 -0.170 ns
3-29 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet t h w ren_ebr hold w rite/read enable to pfu memory 0.139 0.156 0.173 ns t suce_ebr clock enable setup time to ebr output register 0.123 0.134 0.145 ns t hce_ebr clock enable hold time to ebr output register -0.081 -0.090 -0.100 ns t rsto_ebr reset to output delay time from ebr output register 1.03 1.15 1.26 ns t sube_ebr byte enable set-up time to ebr output register -0.115 -0.130 -0.145 ns t hbe_ebr byte enable hold time to ebr output register 0.138 0.155 0.172 ns gpll parameters t rstrec_gpll reset recovery to rising clock 1.00 1.00 1.00 ns spll parameters t rstrec_spll reset recovery to rising clock 1.00 1.00 1.00 ns dsp block timing 2,3 t sui_dsp input register setup time 0.12 0.13 0.14 ns t hi_dsp input register hold time 0.02 -0.01 -0.03 ns t sup_dsp pipeline register setup time 2.18 2.42 2.66 ns t thp_dsp pipeline register hold time -0.68 -0.77 -0.86 ns t suo_dsp output register setup time 4.26 4.71 5.16 ns t ho_dsp output register hold time -1.25 -1.40 -1.54 ns t coi_dsp input register clock to output time 3.92 4.30 4.68 ns t cop_dsp pipeline register clock to output time 1.87 1.98 2.08 ns t coo_dsp output register clock to output time 0.50 0.52 0.55 ns t suaddsub addsub input register setup time -0.24 -0.26 -0.28 ns t haddsub addsub input register hold time 0.27 0.29 0.32 ns 1. internal parameters are characterized but not tested on every device. 2. these parameters apply to latticeecp devices only. 3. dsp block is con?ured in multiply add/sub 18x18 mode. timing v.a 0.11 latticeecp2/m internal switching characteristics 1 (continued) over recommended operating conditions parameter description -7 -6 -5 units min. max. min. max. min. max.
3-30 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet timing diagrams figure 3-9. read/write mode (normal) note: input data and address are registered at the positive edge of the clock and output data appears after the positive edge o f the clock. figure 3-10. read/write mode with input and output registers a0 a1 a0 a1 d0 d1 doa a0 t co_ebr t co_ebr t co_ebr t su t h d0 d1 d0 dia ada wea csa clka a0 a1 a0 a0 d0 d1 output is only updated during a read cycle a1 d0 d1 mem(n) data from previous read dia ada wea csa clka doa (regs) t su t h t coo_ebr t coo_ebr
3-31 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet figure 3-11. write through (sp read/write on port a, input registers only) note: input data and address are registered at the positive edge of the clock and output data appears after the positive edge o f the clock. a0 a1 a0 d0 d1 d4 t su t access t access t access t h d2 d3 d4 d0 d1 d2 data from prev read or write three consecutive writes to a0 d3 doa dia ada wea csa clka t access
3-32 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet latticeecp2/m family timing adders 1, 2, 3 over recommended operating conditions buffer type description -7 -6 -5 units input adjusters lvds25 lvds -0.04 -0.02 0.00 ns blvds25 blvds -0.04 -0.09 -0.15 ns mlvds lvds -0.15 -0.15 -0.15 ns rsds rsds -0.15 -0.15 -0.15 ns lvpecl33 lvpecl 0.16 0.15 0.13 ns hstl18_i hstl_18 class i 0.01 -0.01 -0.04 ns hstl18_ii hstl_18 class ii 0.01 -0.01 -0.04 ns hstl18d_i differential hstl 18 class i 0.01 -0.01 -0.04 ns hstl18d_ii differential hstl 18 class ii 0.01 -0.01 -0.04 ns hstl15_i hstl_15 class i 0.01 -0.01 -0.04 ns hstl15d_i differential hstl 15 class i 0.01 -0.01 -0.04 ns sstl33_i sstl_3 class i -0.03 -0.07 -0.10 ns sstl33_ii sstl_3 class ii -0.03 -0.07 -0.10 ns sstl33d_i differential sstl_3 class i -0.03 -0.07 -0.10 ns sstl33d_ii differential sstl_3 class ii -0.03 -0.07 -0.10 ns sstl25_i sstl_2 class i -0.04 -0.07 -0.10 ns sstl25_ii sstl_2 class ii -0.04 -0.07 -0.10 ns sstl25d_i differential sstl_2 class i -0.04 -0.07 -0.10 ns sstl25d_ii differential sstl_2 class ii -0.04 -0.07 -0.10 ns sstl18_i sstl_18 class i -0.01 -0.04 -0.07 ns sstl18_ii sstl_18 class ii -0.01 -0.04 -0.07 ns sstl18d_i differential sstl_18 class i -0.01 -0.04 -0.07 ns sstl18d_ii differential sstl_18 class ii -0.01 -0.04 -0.07 ns lvttl33 lvttl -0.16 -0.16 -0.16 ns lvcmos33 lvcmos 3.3 -0.08 -0.12 -0.16 ns lvcmos25 lvcmos 2.5 0.00 0.00 0.00 ns lvcmos18 lvcmos 1.8 -0.16 -0.17 -0.17 ns lvcmos15 lvcmos 1.5 -0.14 -0.14 -0.14 ns lvcmos12 lvcmos 1.2 -0.04 -0.01 0.01 ns pci33 pci -0.08 -0.12 -0.16 ns output adjusters lvds25e lvds 2.5 e 4 0.25 0.19 0.13 ns lvds25 lvds 2.5 0.10 0.13 0.17 ns blvds25 blvds 2.5 0.00 -0.01 -0.03 ns mlvds mlvds 2.5 4 0.00 -0.01 -0.03 ns rsds rsds 2.5 4 0.25 0.19 0.13 ns lvpecl33 lvpecl 3.3 4 -0.02 -0.04 -0.06 ns hstl18_i hstl_18 class i 8ma drive -0.19 -0.22 -0.25 ns hstl18_ii hstl_18 class ii -0.30 -0.34 -0.37 ns hstl18d_i differential hstl 18 class i 8ma drive -0.19 -0.22 -0.25 ns hstl18d_ii differential hstl 18 class ii -0.30 -0.34 -0.37 ns
3-33 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet hstl15_i hstl_15 class i 4ma drive -0.22 -0.25 -0.27 ns hstl15d_i differential hstl 15 class i 4ma drive -0.22 -0.25 -0.27 ns sstl33_i sstl_3 class i -0.12 -0.15 -0.18 ns sstl33_ii sstl_3 class ii -0.20 -0.23 -0.27 ns sstl33d_i differential sstl_3 class i -0.12 -0.15 -0.18 ns sstl33d_ii differential sstl_3 class ii -0.20 -0.23 -0.27 ns sstl25_i sstl_2 class i 8ma drive -0.16 -0.19 -0.22 ns sstl25_ii sstl_2 class ii 16ma drive -0.19 -0.22 -0.25 ns sstl25d_i differential sstl_2 class i 8ma drive -0.16 -0.19 -0.22 ns sstl25d_ii differential sstl_2 class ii 16ma drive -0.19 -0.22 -0.25 ns sstl18_i sstl_1.8 class i -0.14 -0.17 -0.20 ns sstl18_ii sstl_1.8 class ii 8ma drive -0.20 -0.23 -0.25 ns sstl18d_i differential sstl_1.8 class i -0.14 -0.17 -0.20 ns sstl18d_ii differential sstl_1.8 class ii 8ma drive -0.20 -0.23 -0.25 ns lvttl33_4ma lvttl 4ma drive 0.52 0.60 0.68 ns lvttl33_8ma lvttl 8ma drive 0.06 0.08 0.09 ns lvttl33_12ma lvttl 12ma drive 0.04 0.04 0.05 ns lvttl33_16ma lvttl 16ma drive 0.03 0.02 0.02 ns lvttl33_20ma lvttl 20ma drive -0.09 -0.09 -0.10 ns lvcmos33_4ma lvcmos 3.3 4ma drive, fast slew rate 0.52 0.60 0.68 ns lvcmos33_8ma lvcmos 3.3 8ma drive, fast slew rate 0.06 0.08 0.09 ns lvcmos33_12ma lvcmos 3.3 12ma drive, fast slew rate 0.04 0.04 0.05 ns lvcmos33_16ma lvcmos 3.3 16ma drive, fast slew rate 0.03 0.02 0.02 ns lvcmos33_20ma lvcmos 3.3 20ma drive, fast slew rate -0.09 -0.09 -0.10 ns lvcmos25_4ma lvcmos 2.5 4ma drive, fast slew rate 0.41 0.47 0.53 ns lvcmos25_8ma lvcmos 2.5 8ma drive, fast slew rate 0.01 0.01 0.00 ns lvcmos25_12ma lvcmos 2.5 12ma drive, fast slew rate 0.00 0.00 0.00 ns lvcmos25_16ma lvcmos 2.5 16ma drive, fast slew rate 0.04 0.04 0.04 ns lvcmos25_20ma lvcmos 2.5 20ma drive, fast slew rate -0.09 -0.10 -0.11 ns lvcmos18_4ma lvcmos 1.8 4ma drive, fast slew rate 0.37 0.40 0.43 ns lvcmos18_8ma lvcmos 1.8 8ma drive, fast slew rate 0.10 0.12 0.13 ns lvcmos18_12ma lvcmos 1.8 12ma drive, fast slew rate -0.02 -0.02 -0.02 ns lvcmos18_16ma lvcmos 1.8 16ma drive, fast slew rate -0.02 -0.03 -0.03 ns lvcmos15_4ma lvcmos 1.5 4ma drive, fast slew rate 0.29 0.31 0.32 ns lvcmos15_8ma lvcmos 1.5 8ma drive, fast slew rate 0.05 0.05 0.06 ns lvcmos12_2ma lvcmos 1.2 2ma drive, fast slew rate 0.58 0.69 0.79 ns lvcmos12_6ma lvcmos 1.2 6ma drive, fast slew rate 0.13 0.19 0.26 ns lvcmos33_4ma lvcmos 3.3 4ma drive, slow slew rate 2.17 2.44 2.71 ns lvcmos33_8ma lvcmos 3.3 8ma drive, slow slew rate 2.50 2.67 2.83 ns lvcmos33_12ma lvcmos 3.3 12ma drive, slow slew rate 1.72 1.88 2.05 ns lvcmos33_16ma lvcmos 3.3 16ma drive, slow slew rate 1.64 1.63 1.62 ns lvcmos33_20ma lvcmos 3.3 20ma drive, slow slew rate 1.33 1.36 1.39 ns latticeecp2/m family timing adders 1, 2, 3 (continued) over recommended operating conditions buffer type description -7 -6 -5 units
3-34 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet lvcmos25_4ma lvcmos 2.5 4ma drive, slow slew rate 2.18 2.26 2.33 ns lvcmos25_8ma lvcmos 2.5 8ma drive, slow slew rate 2.19 2.35 2.51 ns lvcmos25_12ma lvcmos 2.5 12ma drive, slow slew rate 1.50 1.66 1.82 ns lvcmos25_16ma lvcmos 2.5 16ma drive, slow slew rate 1.60 1.59 1.58 ns lvcmos25_20ma lvcmos 2.5 20ma drive, slow slew rate 1.43 1.39 1.34 ns lvcmos18_4ma lvcmos 1.8 4ma drive, slow slew rate 2.22 2.27 2.32 ns lvcmos18_8ma lvcmos 1.8 8ma drive, slow slew rate 1.93 2.08 2.23 ns lvcmos18_12ma lvcmos 1.8 12ma drive, slow slew rate 1.43 1.51 1.58 ns lvcmos18_16ma lvcmos 1.8 16ma drive, slow slew rate 1.47 1.46 1.45 ns lvcmos15_4ma lvcmos 1.5 4ma drive, slow slew rate 2.32 2.38 2.43 ns lvcmos15_8ma lvcmos 1.5 8ma drive, slow slew rate 1.84 1.98 2.12 ns lvcmos12_2ma lvcmos 1.2 2ma drive, slow slew rate 2.52 2.63 2.74 ns lvcmos12_6ma lvcmos 1.2 6ma drive, slow slew rate 1.69 1.83 1.96 ns pci33 pci33 0.04 0.04 0.04 ns 1. timing adders are characterized but not tested on every device. 2. lvcmos timing measured with the load speci?d in switching test condition table. 3. all other standards tested according to the appropriate speci?ations. 4. these timing adders are measured with the recommended resistor values. timing v.a 0.11 latticeecp2/m family timing adders 1, 2, 3 (continued) over recommended operating conditions buffer type description -7 -6 -5 units
3-35 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet sysclock gpll timing over recommended operating conditions parameter description conditions min. typ. max. units f in input clock frequency (clki, clkfb) w ithout external capacitor 20 420 mhz w ith external capacitor 5, 6 2 420 mhz f out output clock frequency (clkop, clkos) w ithout external capacitor 20 420 mhz w ith external capacitor 5 5 50 mhz f out2 k-divider output frequency (clkok) w ithout external capacitor 0.156 210 mhz w ith external capacitor 5 0.039 25 mhz f vco pll vco frequency 640 1280 mhz f pfd phase detector input frequency w ithout external capacitor 20 420 mhz w ith external capacitor 5, 6 2 50 mhz ac characteristics t dt output clock duty cycle default duty cycle selected 3 45 50 55 % t ph 4 output phase accuracy ?.05 ui t opjit 1 output clock period jitter f out 100 mhz ?25 ps 50 f out < 100 mhz 0.025 uipp f out < 50 mhz 0.04 uipp t sk input clock to output clock skew n/m = integer ?50 ps t w output clock pulse w idth at 90% or 10% 1 ns t lock 2 pll lock-in time w ithout external capacitor 150 ? w ith external capacitor 5 500 ? t pa programmable delay unit 85 130 360 ps t ipjit input clock period jitter ?00 ps t fbkdly external feedback delay 10 ns t hi input clock high time 90% to 90% 0.5 ns t lo input clock low time 10% to 10% 0.5 ns t rst rst pulse w idth (resetm/resetk) 15 ns reset signal pulse w idth (cntrst) w ithout external capacitor 500 ns w ith external capacitor 5 20 ? 1. jitter sample is taken over 10,000 samples of the primary pll output with clean reference clock and no additional i/o pins to ggling. 2. output clock is valid after t lock for pll reset and dynamic delay adjustment. 3. using lvds output buffers. 4. relative to clkop. 5. value of external capacitor: 5.6 nf ?0%, npo dielectric, ceramic chip capacitor, 1206 or smaller package, connected to pllca p pin. 6. f out (max) = f in * 10 for f in < 5mhz. timing v.a 0.11
3-36 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet sysclock spll timing over recommended operating conditions parameter description conditions min. typ. max. units f in input clock frequency (clki, clkfb) w ithout external capacitor 33 420 mhz w ith external capacitor 5, 6 2 420 mhz f out output clock frequency (clkop, clkos) w ithout external capacitor 33 420 mhz w ith external capacitor 5 5 50 mhz f out2 k-divider output frequency (clkok) w ithout external capacitor 0.258 210 mhz w ith external capacitor 5 0.039 25 mhz f vco pll vco frequency 640 1280 mhz f pfd phase detector input frequency w ithout external capacitor 33 420 mhz w ith external capacitor 6 2 50 mhz ac characteristics t dt output clock duty cycle default duty cycle selected 3 45 50 55 % t ph 4 output phase accuracy ?.05 ui t opjit 1 output clock period jitter f out 100 mhz ?25 ps 50 f out < 100 mhz 0.025 uipp f out < 50 mhz 0.04 uipp t sk input clock to output clock skew divider ratio = integer ?50 ps t w output clock pulse w idth at 90% or 10% 1 ns t lock 2 pll lock-in time w ithout external capacitor 150 ? w ith external capacitor 5 500 ? t ipjit input clock period jitter ?00 ps t fbkdly external feedback delay 10 ns t hi input clock high time 90% to 90% 0.5 ns t lo input clock low time 10% to 10% 0.5 ns t rst rst pulse w idth (resetm/resetk) 15 ns reset signal pulse w idth (cntrst) w ithout external capacitor 500 ns w ith external capacitor 5 20 ? 1. jitter sample is taken over 10,000 samples of the primary pll output with clean reference clock and no additional i/o pins to ggling. 2. output clock is valid after t lock for pll reset and dynamic delay adjustment. 3. using lvds output buffers. 4. phase accuracy of clkos compared to clkop. 5. value of external capacitor: 5.6 nf ?0%, npo dielectric, ceramic chip capacitor, 1206 or smaller package, connected to pllca p pin. 6. f out (max) = f in * 10 for f in < 5mhz. timing v.a 0.11
3-37 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet dll timing over recommended operating conditions parameter description min. typ. max. units f ref input reference clock frequency (on-chip or off-chip) 100 500 mhz f fb feedback clock frequency (on-chip or off-chip) 100 500 mhz f clkop 1 output clock frequency, clkop 100 500 mhz f clkos 2 output clock frequency, clkos 25 500 mhz t pjit output clock period jitter (clean input) 250 ps p-p t cyjit output clock cycle to cycle jitter (clean input) 250 ps p-p t duty output clock duty cycle (at 50% levels, 50% duty cycle input clock, 50% duty cycle circuit turned off, time reference delay mode) 35 65 % t dutytrd output clock duty cycle (at 50% levels, arbitrary duty cycle input clock, 50% duty cycle circuit enabled, time reference delay mode) 40 60 % t dutycir output clock duty cycle (at 50% levels, arbitrary duty cycle input clock, 50% duty cycle circuit enabled, clock injection removal mode) 40 60 % t ske w 3 output clock to clock skew between two outputs with the same phase setting 100 ps t p w h input clock minimum pulse width high (at 80% level) 750 ps t p w l input clock minimum pulse width low (at 20% level) 750 ps t r , t f input clock rise and fall time (20% to 80% levels) 1 ns t instb input clock period jitter +/-250 ps t lock dll lock time 18,500 cycles t rs w d digital reset minimum pulse width (at 80% level) 3 ns t pa delay step size 16.5 42 59.4 ps t range1 max. delay setting for single delay block (144 taps) 2.376 6 8.553 ns t range4 max. delay setting for four chained delay blocks 9.504 24 34.214 ns 1. clkop runs at the same frequency as the input clock. 2. clkos minimum frequency is obtained with divide by 4. 3. this is intended to be a ?ath-matching design guideline and is not a measurable speci?ation. timing v.a 0.11
3-38 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet serdes high speed data transmitter (latticeecp2m family only) 1, 2 table 3-7. serial output timing and levels table 3-8. channel output jitter - x10 mode table 3-9. channel output jitter - x20 mode symbol description fre q uency min. typ. max. units v tx-diff-p-p-1.25 differential swing (1.25v setting) 1, 2 0.25 to 3.125 gbps 1.25 v, p-p v tx-diff-p-p-1.4 differential swing (1.4v setting) 1, 2 0.25 to 3.125 gbps 1.4 v, p-p v tx-diff-p-p-1.0 differential swing (1.0v setting) 1, 2 0.25 to 3.125 gbps 1.0 v, p-p v tx-diff-p-p-1.2 differential swing (1.2v setting) 1, 2 0.25 to 3.125 gbps 1.2 v, p-p v ocm output common mode voltage 0.8 v t tx-r rise time (20% to 80%) 70 ps t tx-f fall time (80% to 20%) 70 ps z tx-oi-se output impedance 50/75/hiz k ohms (single ended) 50/75 hiz ohms r ltx-rl return loss (with package) 9 db 1. all measurements are with 50 ohm impedance. 2. see tn1124, latticeecp2m serdes/pcs usage guide for actual binary settings and the min-max range. description fre q uency min. typ. max. units deterministic 3.125 gbps 0.08 0.12 ui, p-p random 3.125 gbps 0.22 0.38 ui, p-p total 3.125 gbps 0.33 0.43 ui, p-p deterministic 2.5 gbps 0.08 0.17 ui, p-p random 2.5 gbps 0.20 0.25 ui, p-p total 2.5 gbps 0.25 0.35 ui, p-p deterministic 1.25 gbps 0.03 0.10 ui, p-p random 1.25 gbps 0.14 0.19 ui, p-p total 1.25 gbps 0.17 0.24 ui, p-p deterministic 250 mbps 0.04 0.17 ui, p-p random 250 mbps 0.12 0.13 ui, p-p total 250 mbps 0.15 0.29 ui, p-p note: values are measured with prbs 2 7 -1, all channels operating, fpga logic active, i/os around serdes pins quiet, reference clock at x10 mode. description fre q uency min. typ. max. units deterministic 3.125 gbps 0.08 0.12 ui, p-p random 3.125 gbps 0.27 0.51 ui, p-p total 3.125 gbps 0.35 0.59 ui, p-p deterministic 2.5 gbps 0.09 0.19 ui, p-p random 2.5 gbps 0.23 0.34 ui, p-p total 2.5 gbps 0.29 0.45 ui, p-p deterministic 1.25 gbps 0.05 0.11 ui, p-p random 1.25 gbps 0.16 0.22 ui, p-p total 1.25 gbps 0.20 0.28 ui, p-p note: values are measured with prbs 2 7 -1, all channels operating, fpga logic active, i/os around serdes pins quiet, reference clock at x20 mode.
3-39 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet table 3-10. serdes/pcs latency breakdown (parallel clock cycle) figure 3-12. transmitter and receiver block diagram item description min. average max. bypass transmit data latency t1 fpga bridge transmit 2 1351 t2 8b10b encoder 2221 t3 serdes bridge transmit 2221 t4 serializer 3 2.4 receive data latency r1 deserializer 3 1.2 r2 serdes bridge receive 2221 r3 w ord alignment 4440 r4 8b10b decoder 1111 r5 clock tolerance compensation 7 15 23 1 r6 fpga bridge receive 2 1351 1. pcs internal parallel clock. this clock rate is same as the rxfullclk in table 8-6. 2. fpga bridge latency varies by up/do w n sample fifo read/write. these numbers were presented for 8bit/10bit interface. the depth of down sample/up sample fifo is 4. the earliest read can be done after write clock cycle (1 clock) in down sample fifo. the latest read will be done after the fifo is full (4 + 1 = 5). for 16b/20b interface, the numbers become doubled. min = 2, max = 10. this latency depends on the internal fifo ?g operation. 3. the maximum latency applies to bit0. bit1 latency = bit0 latency + 1 ui. bit2 latency = bit0 latency + 2 ui. hdoutpi hdoutni deserializer 1: 8 /1:10 polarity adjust elastic buffer fifo encoder serdes pcs bypass transmitter receiver recovered clock fpga receive clock fpga receive data transmit data cdr refclk hdinpi hdinni eq polarity adjust up sample fifo serdes brid g e fpga brid g e serializer 8 :1/10:1 wa dec fpga ebrd clock transmit clock tx pll refclk fpga core down sample fifo bypass bypass bypass bypass bypass bypass r1 r2 r3 r4 r5 r6 t1 t2 t3 t4 transmit clock
3-40 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet serdes high speed data receiver (latticeecp2m family only) table 3-11. serial input data speci?ations input data jitter tolerance a receivers ability to tolerate incoming signal jitter is very dependent on jitter type. high speed serial interface stan- dards have recognized the dependency on jitter type and have recently modi?d speci?ations to indicate toler- ance levels for different jitter types as they relate to speci? protocols (e.g. fc, etc.). sinusoidal jitter is considered to be a worst case jitter type. table 3-12. receiver total jitter tolerance speci?ation 1 table 3-13. periodic receiver jitter tolerance speci?ation 1 symbol description min. typ. max. units rx-cid s stream of nontransitions 1 (cid = consecutive identical digits) @ 10 -12 ber 7 @ 3.125 gbps 20 @ 1.25 gbps bits v rx-diff-s differential input sensitivity 100 mv, p-p v rx-in input levels 0 v ccrx + 0.8 v v rx-cm-dc input common mode range (dc coupled) 0.5 1.2 v v rx-cm-ac input common mode range (ac coupled) 3 0 1.5 v t rx-relock cdr re-lock time 2 3000 bits z rx-term input termination 50/75 ohm/high z 50 ohms rl rx-rl return loss (without package) 9 db 1. this is the number of bits allowed without a transition on the incoming data stream when using dc coupling. 2. this is the typical number of bit times to re-lock to a new phase or frequency within +/- 300 ppm, assuming 8b10b encoded dat a. 3. ac coupling is used to interface to lvpecl and lvds. description fre q uency condition min. typ. max. units deterministic 3.125 gbps 600 mv differential eye 0.54 ui, p-p random 600 mv differential eye 0.26 ui, p-p total 600 mv differential eye 0.80 ui, p-p deterministic 2.5 gbps 600 mv differential eye 0.61 ui, p-p random 600 mv differential eye 0.22 ui, p-p total 600 mv differential eye 0.81 ui, p-p deterministic 1.25 gbps 600 mv differential eye 0.53 ui, p-p random 600 mv differential eye 0.22 ui, p-p total 600 mv differential eye 0.80 ui, p-p deterministic 250 mbps 2 600 mv differential eye 0.42 ui, p-p random 600 mv differential eye 0.10 ui, p-p total 600 mv differential eye 0.60 ui, p-p 1. values are measured with prbs 2 7 -1, all channels operating, fpga logic active, i/os around serdes pins quiet, voltages are nominal, room temperature. 2. jitter speci?ation is limited by measurement equipment capability. description fre q uency condition min. typ. max. units periodic 3.125 gbps 600 mv differential eye 0.20 ui, p-p 2.5 gbps 600 mv differential eye 0.22 ui, p-p 1.25 gbps 600 mv differential eye 0.20 ui, p-p 250 mbps 2 600 mv differential eye 0.08 ui, p-p 1. values are measured with prbs 2 7 -1, all channels operating. 2. jitter speci?ation is limited by measurement equipment capability.
3-41 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet serdes external reference clock (latticeecp2m family only) the external reference clock selection and its interface are a critical part of system applications for this product. table 3-14 speci?s reference clock requirements, over the full range of operating conditions. figure 3-13. jitter transfer serdes power-down/power-up speci?ation table 3-15. power-down and power-up speci?ation table 3-14. external reference clock speci?ation (refclkp/refclkn) symbol description min. typ. max. units f ref frequency range 25 320 mhz f ref-ppm frequency tolerance -300 300 ppm v ref-in-se input swing, single-ended clock 1 100 1200 mv, p-p v ref-in input levels 0 v ccp + 0.8 v v ref-cm-dc input common mode range (dc coupled) 0.5 1.2 v v ref-cm-ac input common mode range (ac coupled) 2 0 1.5 v d ref duty cycle 3 40 60 % t ref-r rise time (20% to 80%) 500 1000 ps t ref-f fall time (80% to 20%) 500 1000 ps z ref-in-term input termination 50/2k ohms c ref-in-cap input capacitance 4 1.5 pf 1. the signal swing for a single-ended input clock must be as large as the p-p differential swing of a differential input clock to get the same gain at the input receiver. lower swings for the clock may be possible, but will tend to increase jitter. 2. w hen ac coupled, the input common mode range is determined by: (min input level) + (peak-to-peak input swing)/2 (input common mode voltage) (max input level) - (peak-to-peak input swing)/2 3. measured at 50% amplitude. 4. input capacitance of 1.5pf is total capacitance, including both device and package. symbol description max. units t p w rdn power-down time after all power down register bits set to ? 10 s t p w rup power-up time after all power down register bits set to ? 5 ms frequency (mhz) db note: this graph is for a nominal device. -25.00 -20.00 -15.00 -10.00 -5.00 0.00 5.00 0.1 1 10 100 jitter t. gain@25?,1.20v, pj=100ps
3-42 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet pci express electrical and timing characteristics ac and dc characteristics table 3-16. transmit 1, 2 table 3-17. receive symbol description test conditions min typ max units ui unit interval 399.88 400 400.12 ps v tx-diff_p-p differential peak-to-peak output voltage 0.8 1.0 1.2 v v tx-de-ratio de-emphasis differential output voltage ratio 0 -3.5 -7.96 db v tx-cm-ac_p rms ac peak common-mode out- put voltage ?0mv v tx-cm-dc-line-delta maximum common mode voltage delta between n and p channels 25 mv v tx-dc-cm tx dc common mode voltage 0 v ccob + 5% v i tx-short output short circuit current v tx-d+ =0.0v v tx-d- =0.0v 90 ma z tx-diff-dc differential output impedance 80 100 120 ohms t tx-rise tx output rise time 20 to 80% 0.125 ui t tx-fall tx output fall time 20 to 80% 0.125 ui l tx-ske w lane-to-lane static output skew for all lanes in port/link 1.3 ns t tx-eye transmitter eye width 0.75 ui t tx-eye-median-to-max-jitter 3 0.125 ui c tx ac coupling capacitor 75 200 nf 1. values are measured at 2.5 gbps. 2. compliant to pci express v1.1. 3. measured at 60ps with plug-in board and jitter due to socket removed. symbol description test conditions min. typ. max. units ui unit interval 399.88 400 400.12 ps v rx-diff_p-p differential peak-to-peak input voltage 0.175 v v rx-idle-det-diff_p-p idle detect threshold voltage 65 175 mv z rx-diff-dc dc differential input impedance 80 100 120 ohms z rx-dc dc input impedance 40 50 60 ohms z rx-high-imp-dc 1 power-down dc input impedance 200k ohms t rx-eye receiver eye width 0.4 ui t rx-eye-median-to-max-jitter 0.3 ui notes: 1. measured with external ac-coupling on the receiver 2. values are measured at 2.5 gbps
3-43 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet table 3-18. reference clock symbol description test conditions min. typ. max. units f refclk reference clock frequency 100 mhz v cm input common mode voltage 0.65 v t r /t f clock input rise/fall time 1.0 ns v s w differential input voltage swing 0.6 1.6 v dc refclk input clock duty cycle 40 50 60 % ppm reference clock tolerance -300 +300 ppm
3-44 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet latticeecp2/m sysconfig port timing speci?ations over recommended operating conditions parameter description min. max. units sysconfig byte data flow t sucbdi byte d[0:7] setup time to cclk 7 ns t hcbdi byte d[0:7] hold time to cclk 1 ns t codo cclk to dout in flowthrough mode 12 ns t sucs csn[0:1] setup time to cclk 7 ns t hcs csn[0:1] hold time to cclk 1 ns t su w d w rite signal setup time to cclk 7 ns t h w d w rite signal hold time to cclk 1 ns t dcb cclk to busy delay time 12 ns t cord cclk to out for read data 12 ns sysconfig byte slave clocking t bsch byte slave cclk minimum high pulse 6 ns t bscl byte slave cclk minimum low pulse 9 ns t bscyc byte slave cclk cycle time 15 ns sysconfig serial (bit) data flow t suscdi di setup time to cclk slave mode 7 ns t hscdi di hold time to cclk slave mode 1 ns t codo cclk to dout in flowthrough mode 12 ns sysconfig serial slave clocking t ssch serial slave cclk minimum high pulse 6 ns t sscl serial slave cclk minimum low pulse 6 ns sysconfig por, initialization and wake-up t icfg minimum vcc to initn high 28 ms t vmc time from t icfg to valid master cclk 2 us t prgmrj programn pin pulse rejection 8 ns t prgm programn low time to start con?uration 25 ns t dinit programn high to initn high delay 1 ms t dppinit delay time from programn low to initn low 37 ns t dppdone delay time from programn low to done low 37 ns t iodiss user i/o disable from programn low 35 ns t ioenss user i/o enabled time from cclk edge during w ake-up sequence 25 ns t m w c additional w ake master clock signals after done pin high 120 cycles sysconfig spi port t cfgx initn high to cclk low 1 s t csspi initn high to csspin low 2 us t cscclk cclk low before csspin low 0 ns t socdo cclk low to output valid 15 ns t soe csspin[0:1] active setup time 300 ns t cspid csspin[0:1] low to first cclk edge setup time 300+3cyc 600+6cyc ns f maxspi max. cclk frequency - spi flash read opcode (0x03) (spifastn = 1) 20 mhz max. cclk frequency - spi flash fast read opcode (0x0b) (spifastn = 0) 50 mhz
3-45 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet figure 3-14. sysconfig parallel port read cycle figure 3-15. sysconfig parallel port write cycle t suspi sospi data setup time before cclk 7 ns t hspi sospi data hold time after cclk 2 ns timing v.a 0.11 parameter min. max. units master clock frequency selected value - 30% selected value + 30% mhz duty cycle 40 60 % timing v.a 0.11 latticeecp2/m sysconfig port timing speci?ations (continued) over recommended operating conditions parameter description min. max. units cclk cs1 n cs n write n busy d[0:7] t sucs t hcs t suwd t cord t dcb t hwd t bscyc t bsch t bscl byte 0 byte 1 byte 2 byte n* *n = last b yte of read cycle. cclk cs1 n cs n write n busy d[0:7] t sucs t hcs t suwd t hcbdi t dcb t hwd t bsch t bscl t sucbdi byte 0 byte 1 byte 2 byte n* *n = last b yte of w rite cycle.
3-46 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet figure 3-16. sysconfig slave serial port timing figure 3-17. power-on-reset (por) timing figure 3-18. con?uration from programn timing cclk (inp u t) di n dout t suscdi t hscdi t codo t sscl t ssch cclk 2 do n e v cc / v ccaux / v ccio 8 1 cfg[2:0] 3 t icfg v alid i n it n t v mc 1. time taken from v cc , v ccaux or v ccio 8 , w hiche v er is the last to cross the por trip point. 2. de v ice is in a master mode (spi, spim). 3. the cfg pins are normally static (hard w ired). do n e cclk cfg[2:0] 1 user i/o i n it n program n t prgmrj t di n it t dppi n it t di n itd t iodiss v alid 1. the cfg pins are normally static (hard w ired)
3-47 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet figure 3-19. wake-up timing figure 3-20. spi/spim con?uration waveforms cclk do n e program n user i/o i n it n t ioe n ss wake-up t mwc opcode address 0 1 2 3 ? 7 8 9 10 ? 31 32 33 34 ? 127 12 8 v cc program n do n e i n it n spifast n csspi0 n csspi1 n cclk sispi/busy spid0 capt u re cfgx and spifast n capt u re cr0 & cib ignore v alid bitstream
3-48 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet jtag port timing speci?ations over recommended operating conditions figure 3-21. jtag port timing waveforms symbol parameter min max units f max tck clock frequency 25 mhz t btcp tck [bscan] clock pulse width 40 ns t btcph tck [bscan] clock pulse width high 20 ns t btcpl tck [bscan] clock pulse width low 20 ns t bts tck [bscan] setup time 8 ns t bth tck [bscan] hold time 10 ns t btrf tck [bscan] rise/fall time 50 mv/ns t btco tap controller falling edge of clock to valid output 10 ns t btcodis tap controller falling edge of clock to valid disable 10 ns t btcoen tap controller falling edge of clock to valid enable 10 ns t btcrs bscan test capture register setup time 8 ns t btcrh bscan test capture register hold time 25 ns t butco bscan test update register, falling edge of clock to valid output 25 ns t btuodis bscan test update register, falling edge of clock to valid disable 25 ns t btupoen bscan test update register, falling edge of clock to valid enable 25 ns timing v.a 0.11 tms tdi tck tdo data to b e capt u red from i/o data to b e dri v en o u t to i/o a t a d d i l a v a t a d d i l a v a t a d d i l a v a t a d d i l a v data capt u red t btcph t btcpl t btcoe n t btcrs t btupoe n t butco t btuodis t btcrh t btco t btcodis t bts t bth t btcp
3-49 dc and switching characteristics lattice semiconductor latticeecp2/m family data sheet switching test conditions figure 3-22 shows the output test load that is used for ac testing. the speci? values for resistance, capacitance, voltage, and other test conditions are shown in table 3-19. figure 3-22. output test load, lvttl and lvcmos standards table 3-19. test fixture required components, non-terminated interfaces test condition r 1 r 2 c l timing ref. v t lvttl and other lvcmos settings (l -> h, h -> l) ? 0pf lvcmos 3.3 = 1.5v lvcmos 2.5 = v ccio /2 lvcmos 1.8 = v ccio /2 lvcmos 1.5 = v ccio /2 lvcmos 1.2 = v ccio /2 lvcmos 2.5 i/o (z -> h) 1m v ccio /2 lvcmos 2.5 i/o (z -> l) 1m v ccio /2 v ccio lvcmos 2.5 i/o (h -> z) 100 v oh - 0.10 lvcmos 2.5 i/o (l -> z) 100 v ol + 0.10 v ccio note: output test conditions for all other interfaces are determined by the respective standards. dut v t r1 r2 cl* test poi nt *cl includes test fixture and probe capacitance
www.latticesemi.com 4-1 ds1006 pinout information_01.9 august 2008 data sheet ds1006 ?2008 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the speci?ations and information herein are subject to change without notice. signal descriptions signal name i/o description general purpose p[edge] [row/column number*]_[a/b] i/o [edge] indicates the edge of the device on which the pad is located. valid edge designations are l (left), b (bottom), r (right), t (top). [row/column number] indicates the pfu row or the column of the device on which the pic exists. w hen edge is t (top) or b (bottom), only need to spec- ify row number. w hen edge is l (left) or r (right), only need to specify col- umn number. [a/b] indicates the pio within the pic to which the pad is connected. some of these user-programmable pins are shared with special function pins. these pins, when not used as special purpose pins, can be programmed as i/os for user logic. during con?uration the user-programmable i/os are tri-stated with an internal pull-up resistor enabled. if any pin is not used (or not bonded to a package pin), it is also tri-stated with an internal pull-up resistor enabled after con?uration. gsrn i global reset signal (active low). any i/o pin can be gsrn. nc no connect. gnd ground. dedicated pins. v cc power supply pins for core logic. dedicated pins. v ccaux auxiliary power supply pin. this dedicated pin powers all the differential and referenced input buffers. v cciox dedicated power supply pins for i/o bank x. v ccpll pll supply pins. should be tied to v cc even when the corresponding pll is unused. v ref1_x , v ref2_x reference supply pins for i/o bank x. pre-determined pins in each bank are assigned as v ref inputs. w hen not used, they may be used as i/o pins. xres 4 10k ohm +/-1% resistor must be connected between this pad and ground. pllcap 4 external capacitor connection for pll. pll, dll and clock functions (used as user programmable i/o pins when not in use for pll or clock pins) [loc][num]_v ccpll power supply pin for pll: ulm, llm, urm, lrm, num = row from center. [loc][num]_gpll[t, c]_in_a i general purpose pll (gpll) input pads: ulm, llm, urm, lrm, num = row from center, t = true and c = complement, index a,b,c...at each side. [loc][num]_gpll[t, c]_fb_a i optional feedback gpll input pads: ulm, llm, urm, lrm, num = row from center, t = true and c = complement, index a,b,c...at each side. [loc][num]_spll[t, c]_in_a i secondary pll (spll) input pads: ulm, llm, urm, lrm, num = row from center, t = true and c = complement, index a,b,c...at each side. [loc][num]_spll[t, c]_fb_a i optional feedback (spll) input pads: ulm, llm, urm, lrm, num = row from center, t = true and c = complement, index a,b,c...at each side. [loc][num]_dll[t, c]_in_a i dll input pads: ulm, llm, urm, lrm, num = row from center, t = true and c = complement, index a,b,c...at each side. [loc][num]_dll[t, c]_fb_a i optional feedback (dll) input pads: ulm, llm, urm, lrm, num = row from center, t = true and c = complement, index a,b,c...at each side. pclk[t, c]_[n:0]_[3:0] i primary clock pads, t = true and c = complement, n per side, indexed by bank and 0,1,2,3 within bank. latticeecp2/m family data sheet pinout information
4-2 pinout information lattice semiconductor latticeecp2/m family data sheet [loc]dqs[num] i/o dq input/output pads: t (top), r (right), b (bottom), l (left), dqs, num = ball function number. [loc]dq[num] i/o dq input/output pads: t (top), r (right), b (bottom), l (left), dq, associated dqs number. test and programming (dedicated pins) tms i test mode select input, used to control the 1149.1 state machine. pull-up is enabled during con?uration. tck i test clock input pin, used to clock the 1149.1 state machine. no pull-up enabled. tdi i test data in pin. used to load data into device using 1149.1 state machine. after power-up, this tap port can be activated for con?uration by sending appropriate command. (note: once a con?uration port is selected it is locked. another con?uration port cannot be selected until the power-up sequence). pull-up is enabled during con?uration. tdo o output pin. test data out pin used to shift data out of a device using 1149.1. vccj power supply pin for jtag test access port. con?uration pads (used during sysconfig) cfg[2:0] i mode pins used to specify con?uration mode values latched on rising edge of initn. during con?uration, a pull-up is enabled. these are dedicated pins. initn i/o open drain pin. indicates the fpga is ready to be con?ured. during con?- uration, a pull-up is enabled. it is a dedicated pin. programn i initiates con?uration sequence when asserted low. this pin always has an active pull-up. this is a dedicated pin. done i/o open drain pin. indicates that the con?uration sequence is complete, and the startup sequence is in progress. this is a dedicated pin. cclk i/o con?uration clock for con?uring an fpga in sysconfig mode. busy/sispi i/o read control command in spi or spim mode. csn i sysconfig chip select (active low). during con?uration, a pull-up is enabled. cs1n i sysconfig chip select (active low). during con?uration, a pull-up is enabled. w riten i w rite data on parallel port (active low). d[0]/spifastn i/o sysconfig port data i/o for parallel mode. sysconfig port data i/o for spi or spim. w hen using the spi or spim mode, this pin should either be tied high or low, must not be left ?ating. d[1:6] i/o sysconfig port data i/o for parallel d[7]/spid0 i/o sysconfig port data i/o for parallel, spi, spim dout/cson o output for serial con?uration data (rising edge of cclk) when using sysconfig port. di/csspi0n i/o input for serial con?uration data (clocked with cclk) when using syscon- fig port. during con?uration, a pull-up is enabled. output when used in spi/ spim modes. dedicated serdes signals 1, 2, 3 [loc]_sq_vccaux33 termination resistor switching power (3.3v). this pin must be tied to 3.3v even if the quad is unused. [loc]_sq_refclkn i negative reference clock input [loc]_sq_refclkp i positive reference clock input [loc]_sq_vccp pll and reference clock buffer power (1.2v). this pin must be tied to 1.2v even if the quad is unused. signal descriptions (cont.) signal name i/o description
4-3 pinout information lattice semiconductor latticeecp2/m family data sheet [loc]_sq_vccibm input buffer power supply, channel m (1.2v/1.5v). this pin should be left ?at- ing if the channel is unused. [loc]_sq_vccobm output buffer power supply, channel m (1.2v/1.5v). this pin should be left ?ating if the channel is unused. [loc]_sq_hdoutnm o high-speed output, negative channel m [loc]_sq_hdoutpm o high-speed output, positive channel m [loc]_sq_hdinnm i high-speed input, negative channel m [loc]_sq_hdinpm i high-speed input, positive channel m [loc]_sq_vcctxm 4 transmitter power supply, channel m (1.2v). this pin must be tied to 1.2v even if the channel is unused. [loc]_sq_vccrxm 4 receiver power supply, channel m (1.2v). this pin must be tied to 1.2v even if the channel is unused. 1. these signals are relevant for latticeecp2m family. 2. m de?es the associated channel in the quad. 3. these signals are de?ed in quads [loc] indicates the corner serdes quad is located: ulc (upper left), urc (upper right), llc (lower left), lrc (lower right). 4. w hen placing switching i/os around these critical pins that are designed to supply the device with the proper reference or suppl y voltage, care must be given. for more information, refer to tn1159, latticeecp2/m pin assignment recommendations . signal descriptions (cont.) signal name i/o description
4-4 pinout information lattice semiconductor latticeecp2/m family data sheet pics and ddr data (dq) pins associated with the ddr strobe (dqs) pin pics associated with dqs strobe pio within pic ddr strobe (dqs) and data (dq) pins for left and right edges of the device p[edge] [n-4] a dq b dq p[edge] [n-3] a dq b dq p[edge] [n-2] a dq b dq p[edge] [n-1] adq b dq p[edge] [n] a [edge]dqsn b dq p[edge] [n+1] a dq b dq p[edge] [n+2] a dq b dq p[edge] [n+3] a dq b dq for bottom edge of the device p[edge] [n-4] a dq b dq p[edge] [n-3] a dq b dq p[edge] [n-2] a dq b dq p[edge] [n-1] a dq b dq p[edge] [n] a [edge]dqsn b dq p[edge] [n+1] a dq b dq p[edge] [n+2] a dq b dq p[edge] [n+3] a dq b dq p[edge] [n+4] a dq b dq notes: 1. ? is a row pic number. 2. the ddr interface is designed for memories that support one dqs strobe up to 15 bits of data for the left and right edges and up to 17 bits of data for the bottom edge. in some packages, all the potential ddr data (dq) pins may not be available. pic numbering de?itions are provided in the ?ignal names column of the signal descriptions table.
4-5 pinout information lattice semiconductor latticeecp2/m family data sheet latticeecp2 pin information summary, lfe2-6 and lfe2-12 pin type lfe2-6 lfe2-12 144 tqfp 256 fpbga 144 tqfp 208 pqfp 256 fpbga 484 fpbga single ended user i/o 90 190 93 131 193 297 differential pair user i/o 43 95 45 62 96 148 con?uration tap pins 555555 muxed pins 14 14 14 14 14 14 dedicated pins (non tap) 777777 non con?uration muxed pins 34 54 33 40 54 57 dedicated pins 333333 vcc 1071014716 vccaux 4448416 vccpll 000000 vccio bank0 121224 bank1 121224 bank2 121224 bank3 121224 bank4 121224 bank5 121224 bank6 121224 bank7 121224 bank8 111212 gnd, gnd0 to gnd7 12 20 12 22 20 60 nc 4310044 single ended/ differential i/o pairs per bank (including emulated with resistors) bank0 8/4 18/6 8/4 18/9 18/9 50/25 bank1 17/8 34/17 18/9 18/9 34/17 46/23 bank2 4/2 20/10 4/2 11/5 20/10 24/12 bank3 8/4 12/6 8/4 11/5 12/6 16/8 bank4 18/9 32/16 18/9 19/9 32/16 46/23 bank5 8/4 14/7 10/5 18/9 17/8 46/23 bank6 9/4 26/13 9/4 18/8 26/13 32/16 bank7 12/6 20/10 12/6 12/6 20/10 23/11 bank8 6/2 14/7 6/2 6/2 14/7 14/7 true lvds i/o pairs per bank bank0 (top edge) 000000 bank1 (top edge) 000000 bank2 (right edge) 151456 bank3 (right edge) 333334 bank4 (bottom edge) 000000 bank5 (bottom edge) 000000 bank6 (left edge) 272678 bank7 (left edge) 555555 bank8 (right edge) 000000
4-6 pinout information lattice semiconductor latticeecp2/m family data sheet available ddr-interfaces per i/o bank 1 bank0 000000 bank1 000000 bank2 010011 bank3 000000 bank4 020023 bank5 010013 bank6 010011 bank7 010011 bank8 000000 pci capable i/os per bank bank0 000000 bank1 000000 bank2 000000 bank3 000000 bank4 18 32 18 19 32 46 bank5 8 14 10 18 17 46 bank6 000000 bank7 000000 bank8 000000 1. minimum requirement to implement a fully functional 8-bit wide ddr bus. available ddr interface consists of at least 12 i/os (1 dqs + 1 dqsb + 8 dqs + 1 dm + bank vref1). latticeecp2 pin information summary, lfe2-6 and lfe2-12 (cont.) pin type lfe2-6 lfe2-12 144 tqfp 256 fpbga 144 tqfp 208 pqfp 256 fpbga 484 fpbga
4-7 pinout information lattice semiconductor latticeecp2/m family data sheet latticeecp2 pin information summary, lfe2-20 and lfe2-35 pin type lfe2-20 lfe2-35 208 pqfp 256 fpbga 484 fpbga 672 fpbga 484 fpbga 672 fpbga single ended user i/o 131 193 331 402 331 450 differential pair user i/o 62 96 165 200 165 224 con?uration tap pins 555555 muxed pins 14 14 14 14 14 14 dedicated pins (non tap) 777777 non con?uration muxed pins 42 54 60 64 60 68 dedicated pins 333333 vcc 14 7 18241622 vccaux 8 4 16 16 16 16 vccpll 000022 vccio bank0 224545 bank1 224545 bank2 224545 bank3 224545 bank4 224545 bank5 224545 bank6 224545 bank7 224545 bank8 212222 gnd, gnd0 to gnd7 22 20 60 72 60 72 nc 0 1 8 101 8 102 single ended/ differential i/o pairs per bank (including emulated with resistors) bank0 18/9 18/9 50/25 67/33 50/25 67/33 bank1 18/9 34/17 46/23 52/26 46/23 52/26 bank2 11/5 20/10 34/17 36/18 34/17 48/24 bank3 11/5 12/6 22/11 32/16 22/11 42/21 bank4 19/9 32/16 46/23 50/25 46/23 54/27 bank5 18/9 17/8 46/23 68/34 46/23 68/34 bank6 18/8 26/13 40/20 48/24 40/20 58/29 bank7 12/6 20/10 33/16 35/17 33/16 47/23 bank8 6/2 14/7 14/7 14/7 14/7 14/7 true lvds i/o pairs per bank bank0 (top edge) 000000 bank1 (top edge) 000000 bank2 (right edge) 4599912 bank3 (right edge) 335859 bank4 (bottom edge) 000000 bank5 (bottom edge) 000000 bank6 (left edge) 6 7 10 12 10 13 bank7 (left edge) 5588811 bank8 (right edge) 000000
4-8 pinout information lattice semiconductor latticeecp2/m family data sheet available ddr-interfaces per i/o bank 1 bank0 000000 bank1 000000 bank2 012223 bank3 000202 bank4 023333 bank5 013434 bank6 012313 bank7 012223 bank8 000000 pci capable i/os per bank bank0 000000 bank1 000000 bank2 000000 bank3 000000 bank4 19 32 46 50 46 54 bank5 18 17 46 68 46 68 bank6 000000 bank7 000000 bank8 000000 1. minimum requirement to implement a fully functional 8-bit wide ddr bus. available ddr interface consists of at least 12 i/os (1 dqs + 1 dqsb + 8 dqs + 1 dm + bank vref1). latticeecp2 pin information summary, lfe2-20 and lfe2-35 (cont.) pin type lfe2-20 lfe2-35 208 pqfp 256 fpbga 484 fpbga 672 fpbga 484 fpbga 672 fpbga
4-9 pinout information lattice semiconductor latticeecp2/m family data sheet latticeecp2 pin information summary, lfe2-50 and lfe2-70 pin type lfe2-50 lfe2-70 484 fpbga 672 fpbga 672 fpbga 900 fpbga single ended user i/o 339 500 500 583 differential pair user i/o 169 249 249 290 con?uration tap pins 5555 muxed pins 14 14 14 14 dedicated pins (non tap) 7777 non con?uration muxed pins 68 79 79 89 dedicated pins 3333 vcc 16 20 20 26 vccaux 16 16 16 17 vccpll 4424 vccio bank0 4556 bank1 4556 bank2 4556 bank3 4556 bank4 4556 bank5 4556 bank6 4556 bank7 4556 bank8 2222 gnd, gnd0 to gnd7 60 72 72 104 nc 0 3 5 101 single ended/ differential i/o pairs per bank (including emulated with resistors) bank0 50/25 67/33 67/33 84/42 bank1 46/23 66/33 66/33 76/38 bank2 38/19 56/28 56/28 74/37 bank3 22/11 48/24 48/24 48/24 bank4 46/23 62/31 62/31 72/35 bank5 46/23 68/34 68/34 80/40 bank6 40/20 64/32 64/32 64/32 bank7 37/18 55/27 55/27 71/35 bank8 14/7 14/7 14/7 14/7 true lvds i/o pairs per bank bank0 (top edge) 0000 bank1 (top edge) 0000 bank2 (right edge) 9 13 13 18 bank3 (right edge) 5 12 12 12 bank4 (bottom edge) 0000 bank5 (bottom edge) 0000 bank6 (left edge) 10 16 16 16 bank7 (left edge) 8 12 12 16 bank8 (right edge) 0000
4-10 pinout information lattice semiconductor latticeecp2/m family data sheet available ddr-interfaces per i/o bank 1 bank0 0000 bank1 0000 bank2 2334 bank3 0333 bank4 3444 bank5 3445 bank6 1444 bank7 2334 bank8 0000 pci capable i/os per bank bank0 0000 bank1 0000 bank2 0000 bank3 0000 bank4 46 62 62 72 bank5 46 68 68 80 bank6 0000 bank7 0000 bank8 0000 1. minimum requirement to implement a fully functional 8-bit wide ddr bus. available ddr interface consists of at least 12 i/os (1 dqs + 1 dqsb + 8 dqs + 1 dm + bank vref1). latticeecp2 pin information summary, lfe2-50 and lfe2-70 (cont.) pin type lfe2-50 lfe2-70 484 fpbga 672 fpbga 672 fpbga 900 fpbga
4-11 pinout information lattice semiconductor latticeecp2/m family data sheet latticeecp2m pin information summary, lfe2m20 and lfe2m35 pin type lfe2m20 lfe2m35 256 fpbga 484 fpbga 256 fpbga 484 fpbga 672 fpbga single ended user i/o 140 304 140 303 410 differential pair user i/o 70 152 70 151 199 con?uration tap pins 55555 muxed pins 14 14 14 14 14 dedicated pins (non tap) 77777 non con?uration muxed pins 64 84 60 84 89 dedicated pins 33333 vcc 61661629 vccaux 484817 vccpll 14148 vccio bank0 14145 bank1 13134 bank2 24245 bank3 24245 bank4 24244 bank5 24245 bank6 24245 bank7 24245 bank8 12122 gnd, gnd0 to gnd7 22 57 22 57 80 nc 17 11 17 12 37 single ended/ differential i/o pairs per bank (including emulated with resistors) bank0 0/0 36/18 0/0 36/18 63/31 bank1 0/0 18/9 0/0 18/9 18/9 bank2 14/7 30/15 14/7 30/15 50/25 bank3 16/8 36/18 16/8 36/18 43/21 bank4 32/16 62/31 32/16 62/31 50/21 bank5 20/10 28/14 20/10 28/14 60/30 bank6 16/8 40/20 16/8 39/19 52/25 bank7 28/14 40/20 28/14 40/20 60/30 bank8 14/7 14/7 14/7 14/7 14/7 true lvds i/o pairs per bank bank0 (top edge) 00000 bank1 (top edge) 00000 bank2 (right edge) 373712 bank3 (right edge) 494911 bank4 (bottom edge) 00000 bank5 (bottom edge) 00000 bank6 (left edge) 4 10 4 10 14 bank7 (left edge) 7 10 7 10 15 bank8 (right edge) 00000
4-12 pinout information lattice semiconductor latticeecp2/m family data sheet available ddr-interfaces per i/o bank 1 bank0 00000 bank1 00000 bank2 01013 bank3 01012 bank4 24243 bank5 12123 bank6 03012 bank7 12123 bank8 00000 pci capable i/os per bank bank0 00000 bank1 00000 bank2 00000 bank3 00000 bank4 32 62 32 62 50 bank5 20 28 20 28 60 bank6 16 40 16 39 52 bank7 28 40 28 40 60 bank8 00000 1. minimum requirement to implement a fully functional 8-bit wide ddr bus. available ddr interface consists of at least 12 i/os (1 dqs + 1 dqsb + 8 dqs + 1 dm + bank vref1). latticeecp2m pin information summary, lfe2m20 and lfe2m35 (cont.) pin type lfe2m20 lfe2m35 256 fpbga 484 fpbga 256 fpbga 484 fpbga 672 fpbga
4-13 pinout information lattice semiconductor latticeecp2/m family data sheet latticeecp2m pin information summary, lfe2m50, lfe2m70 and lfe2m100 pin type lfe2m50 lfe2m70 lfe2m100 484 fpbga 672 fpbga 900 fpbga 900 fpbga 1152 fpbga 900 fpbga 1152 fpbga single ended user i/o 270 372 410 416 436 416 520 differential pair user i/o 135 185 205 208 218 207 260 con?uration tap pins 5555555 muxed pins 14 14 14 14 14 14 14 dedicated pins (non tap) 7777777 non con?uration muxed pins 69 72 72 75 76 74 78 dedicated pins 3333333 vcc 16 20 62 44 44 44 44 vccaux 8 26 18 16 12 16 12 vccpll 4844444 vccio bank0 4566767 bank1 3466767 bank2 4599999 bank3 4599999 bank4 4466767 bank5 4566767 bank6 4599999 bank7 4599999 bank8 2222222 gnd, gnd0 to gnd7 57 80 122 122 134 122 134 nc 31 35 121 63 283 63 199 single ended/ differential i/o pairs per bank (includ- ing emulated with resis- tors) bank0 36/18 63/31 56/28 34/17 46/23 34/17 54/27 bank1 18/9 18/9 36/18 42/21 34/17 42/21 44/22 bank2 30/15 50/25 54/27 70/35 72/36 70/35 80/40 bank3 36/18 43/21 44/22 60/30 64/32 60/30 80/40 bank4 42/21 24/12 38/19 38/19 40/20 38/19 44/22 bank5 28/14 60/30 58/29 40/20 40/20 40/20 46/23 bank6 40/20 54/27 60/30 62/31 66/33 62/31 82/41 bank7 40/20 60/30 64/32 70/35 74/37 70/35 90/45 bank8 0/0 0/0 0/0 0/0 0/0 0/0 0/0 true lvds i/o pairs per bank bank0 (top edge) 0000000 bank1 (top edge) 0000000 bank2 (right edge) 7 12 13 17 18 17 20 bank3 (right edge) 9 11 11 15 16 15 20 bank4 (bottom edge) 0000000 bank5 (bottom edge) 0000000 bank6 (left edge) 10 14 15 15 16 15 20 bank7 (left edge) 10 15 17 17 18 17 22 bank8 (right edge) 0000000
4-14 pinout information lattice semiconductor latticeecp2/m family data sheet available ddr-interfaces per i/o bank 1 bank0 0000000 bank1 0000000 bank2 2424444 bank3 2313435 bank4 3133333 bank5 2332323 bank6 1323435 bank7 3434445 bank8 0000000 pci capable i/os per bank bank0 0000000 bank1 0000000 bank2 000072080 bank3 000064080 bank4 50 24 48 48 40 48 44 bank5 60 60 50 40 40 40 46 bank6 52 54 60 62 66 62 82 bank7 60 60 68 70 74 70 90 bank8 0000000 1. minimum requirement to implement a fully functional 8-bit wide ddr bus. available ddr interface consists of at least 12 i/os (1 dqs + 1 dqsb + 8 dqs + 1 dm + bank vref1). latticeecp2m pin information summary, lfe2m50, lfe2m70 and lfe2m100 (cont.) pin type lfe2m50 lfe2m70 lfe2m100 484 fpbga 672 fpbga 900 fpbga 900 fpbga 1152 fpbga 900 fpbga 1152 fpbga
4-15 pinout information lattice semiconductor latticeecp2/m family data sheet available device resources by package, latticeecp2 available device resources by package, latticeecp2m resource device 256 fpbga 484 fpbga 672 fpbga 900 fpbga pll/dll ecp2-6 4 ecp2-12 4 4 ecp2-20 4 4 4 ecp2-35 4 4 ecp2-50 6 6 ecp2-70 8 8 resource device 256 fpbga 484 fpbga 672 fpbga 900 fpbga 1152 fpbga pll/dll ecp2m20 10 10 ecp2m35 10 10 10 ecp2m50 10 10 10 ecp2m70 10 10 ecp2m100 10 10
4-16 pinout information lattice semiconductor latticeecp2/m family data sheet latticeecp2 power supply and nc signals 144 tqfp 3 208 pqfp 3 256 fpbga 4 484 fpbga 4 vcc 16, 22, 29, 48, 54, 83, 94, 102, 128, 135 12, 19, 28, 40, 74, 80, 97, 116, 129, 140, 146, 171, 188, 198 lfe2-6: g7, g9, g10, h7, j10, k10, k8 lfe2-12/lfe2-20: g7, g9, g10, h7, j10, k10, k8 lfe2-12/lfe2-20: n6, n18, j10, j11, j12, j13, k14, k9, l14, l9, m14, m9, n14, n9, p10, p11, p12, p13 lfe2-35/lfe2-50: j10, j11, j12, j13, k14, k9, l14, l9, m14, m9, n14, n9, p10, p11, p12, p13 vccio0 139 195, 206 c5, e7 g10, g9, h8, h9 vccio1 117 162, 170 c12, e10 g11, g12, g13, g14 vccio2 106 143, 148 e14, g12 h14, h15, j15, k16 vccio3 89 123, 135 k12, m14 l16, m16, n16, p16 vccio4 64 93, 100 m10, p12 r14, t12, t13, t14 vccio5 42 55, 63 m7, p5 r9, t10, t11, t9 vccio6 31 38, 44 k5, m3 n7, p7, p8, r8 vccio7 9 10, 14 e3, g5 j8, k7, l7, m7 vccio8 85 113, 118 t15 p15, r15 vccj 35 51 k7 t8 vccaux 6, 39, 90, 142 7, 30, 70, 86, 125, 151, 174, 190 g8, h10, j7, k9 g5, k5, r5, v7, v11, v8, v13, v15, m17, p17, e17, g18, d11, f13, c5, e6 vccpll none none none lfe2-12/lfe2-20: none lfe2-35: n6, n18 lfe2-50: n6, n18, k6, j16 gnd 1 11, 21, 30, 47, 51, 61, 81, 95, 105, 120, 133, 138 5, 13, 17, 25, 32, 42, 60, 68, 77, 81, 89, 102, 115, 122, 139, 145, 159, 169, 175, 184, 192, 201 a1, a16, b12, b5, c8, e15, e2, h14, h8, h9, j3, j8, j9, m15, m2, p9, r12, r5, t1, t16 a22, aa19, aa4, ab1, ab22, b19, b4, c14, c9, d2, d21, f17, f6, h10, h11, h12, h13, j14, j20, j3, j9, k10, k11, k12, k13, k15, k8, l10, l11, l12, l13, l15, l8, m10, m11, m12, m13, m15, m8, n10, n11, n12, n13, n15, n8, p14, p20, p3, p9, r10, r11, r12, r13, u17, u6, w 2, w 21, y14, y9, a1 nc 2 lfe2-6: 45, 46, 124, 127 lfe2-12: 127 none lfe2-6: k6, r3, p4 lfe2-12/lfe2-20: none lfe2-12: e3, f3, f1, h4, f2, h5, g1, g3, g2, g4, k6, n1, m2, n2, m1, n3, n5, n4, p5, n19, m19, j22, l22, h22, k22, j16, d22, f21, e21, e22, h19, g20, g19, f20, c21, c22, h6, j6, h3, h2, h17, h16, h20, h18 lfe2-20/lfe2-35: k6, j16, h6, j6, h3, h2, h17, h16, h20, h18 lfe2-50: none 1. all grounds must be electrically connected at the board level. for fpbga packages, the total number of gnd balls is less tha n the actual number of gnd logic connections from the die to the common package gnd plane. 2. nc pins should not be connected to any active signals, vcc or gnd. 3. pin orientation follows the conventional order from the pin 1 marking of the top side view and counter-clockwise. 4. pin orientation a1 starts from the upper left corner of the top side view with alphabetical order ascending vertically and nu merical order ascending horizontally.
4-17 pinout information lattice semiconductor latticeecp2/m family data sheet latticeecp2 power supply and nc (cont.) signals 672 fpbga 3 900 fpbga 3 vcc lfe2-20: r8, p18, m8, l20, l12, l13, l14, l15, m11, m12, m15, m16, n11, n16, p11, p16, r11, r12, r15, r16, t12, t13, t14, t15 lfe2-35/lfe2-50: l12, l13, l14, l15, m11, m12, m15, m16, n11, n16, p11, p16, r11, r12, r15, r16, t12, t13, t14, t15 lfe2-70: l12, l13, l14, l15, m11, m12, m15, m16, n11, n16, p11, p16, r11, r12, r15, r16, t12, t13, t14, t15 aa11, aa20, k11, k21, k22, l11, l12, l13, l18, l19, l20, m11, m20, n11, n20, v11, v20, w 11, w 20, y10, y11, y12, y13, y18, y19, y20 vccio0 d11, d6, g9, j12, k12 j13, j14, k12, k13, k14, k15 vccio1 d16, d21, g18, j15, k15 j17, j18, j20, k17, k18, k20 vccio2 f23, j20, l23, m17, m18 l21, m21, m22, n21, n22, r21 vccio3 aa23, r17, r18, t23, v20 u21, u22, v21, v22, w 21, y22 vccio4 ac16, ac21, u15, v15, y18 aa16, aa17, aa18, aa19, ab17, ab18 vccio5 ac11, ac6, u12, v12, y9 aa12, aa13, aa14, ab12, ab13, ab14 vccio6 aa4, r10, r9, t4, v7 u10, u9, v10, w 10, w 9, y9 vccio7 f4, j7, l4, m10, m9 l10, l9, m10, n10, p10, r10 vccio8 ae25, v18 aa21, y21 vccj ab5 ad3 vccaux j10, j11, j16, j17, k18, l18, t18, u18, v16, v17, v10, v11, t9, u9, k9, l9 aa15, ab11, ab19, ab20, j11, j12, j19, k19, l22, m9, n9, p21, p9, t10, t21, v9, w 22 vccpll lfe2-20: none lfe2-35/lfe2-70: r8, p18 lfe2-50: r8, p18, m8, l20 p22, p8, t22, y7 gnd 1 a2, a25, aa18, aa24, aa3, aa9, ad11, ad16, ad21, ad6, ae1, ae26, af2, af25, b1, b26, c11, c16, c21, c6, f18, f24, f3, f9, j13, j14, j21, j6, k10, k11, k13, k14, k16, k17, l10, l11, l16, l17, l24, l3, m13, m14, n10, n12, n13, n14, n15, n17, p10, p12, p13, p14, p15, p17, r13, r14, t10, t11, t16, t17, t24, t3, u10, u11, u13, u14, u16, u17, v13, v14, v21, v6 a1, a30, ac28, ac3, ah13, ah18, ah23, ah28, ah3, ah8, ak1, ak30, c13, c18, c23, c28, c3, c8, h28, h3, l14, l15, l16, l17, m12, m13, m14, m15, m16, m17, m18, m19, n12, n13, n14, n15, n16, n17, n18, n19, n28, n3, p11, p12, p13, p14, p15, p16, p17, p18, p19, p20, r11, r12, r13, r14, r15, r16, r17, r18, r19, r20, t11, t12, t13, t14, t15, t16, t17, t18, t19, t20, u11, u12, u13, u14, u15, u16, u17, u18, u19, u20, v12, v13, v14, v15, v16, v17, v18, v19, v28, v3, w 12, w 13, w 14, w 15, w 16, w 17, w 18, w 19, y14, y15, y16, y17 nc 2 lfe2-20: e4, e3, e2, e1, h6, h5, f2, f1, h8, j9, g4, g3, k3, k2, k1, l2, l1, m2, m1, n2, t1, t2, p8, p6, p5, p4, u1, v1, p3, r3, r4, u2, v2, w 2, t6, r5, aa19, w 17, y19, y17, af20, ae20, aa20, w 18, ad20, ae21, af21, af22, r22, t21, p26, p25, r24, r23, p20, r19, p21, p19, p23, p22, n22, r21, n26, n25, j26, j25, j23, k23, h26, h25, h24, h23, f22, e24, d25, c25, d24, b25, h21, g22, b24, c24, d23, c23, e19, c19, b21, b20, d19, b19, g17, e18, g19, f17, a20, a19, e17, d18, m3, n6, p24 lfe2-35: k3, k2, k1, l2, l1, m2, m1, n2, m8, p3, r3, r4, u2, v2, w 2, af20, ae20, aa20, w 18, ad20, ae21, af21, af22, p26, p25, r24, r23, p20, r19, l20, j26, j25, j23, k23, h26, h25, h24, h23, e19, c19, b21, b20, d19, b19, g17, e18, g19, f17, a20, a19, e17, d18, m3, n6, p24 lfe2-50: n6, p24, m3 lfe2-70: m8, l20, m3, p24, n6 a2, a3, a4, a5, ab28, ac4, ad23, ae1, ae2, ae29, ae3, ae30, ae4, ae5, ae6, af1, af2, af23, af26, af27, af28, af29, af3, af30, af4, af5, ag1, ag13, ag16, ag18, ag2, ag26, ag27, ag28, ag29, ag3, ag30, ag4, ag8, ah1, ah16, ah2, ah26, ah27, ah29, ah30, ah4, aj1, aj2, aj27, aj28, aj29, aj3, aj30, ak2, ak27, ak28, ak29, ak3, b1, b2, b3, b30, b4, b5, c1, c2, c29, c30, c4, d13, d18, d23, d28, d29, d3, d30, d4, e25, e26, e27, e28, e29, e3, e30, e4, e5, e6, f25, f5, f6, g6, g7, k10, k9, n27, n4, r1, r2, v27, v4 1. all grounds must be electrically connected at the board level. for fpbga packages, the total number of gnd balls is less tha n the actual number of gnd logic connections from the die to the common package gnd plane. 2. nc pins should not be connected to any active signals, vcc or gnd. 3. pin orientation a1 starts from the upper left corner of the top side view with alphabetical order ascending vertically and nu merical order ascending horizontally.
4-18 pinout information lattice semiconductor latticeecp2/m family data sheet latticeecp2m power supply and nc signal 256 fpbga 484 fpbga v cc g7, g9, h7, j10, k10, k8 j10, j11, j12, j13, k14, k9, l14, l9, m14, m9, n14, n9, p10, p11, p12, p13 v ccio0 e7 b5, b9, e7, h9 v ccio1 e10 d13, e16, h14 v ccio2 e14, g12 e21, g18, j15, k19 v ccio3 k12, m14 n19, p15, t18, v21 v ccio4 m10, p12 aa18, r14, v16, w 13 v ccio5 m7, p5 aa5, r9, v7, w 10 v ccio6 k5, m3 n4, p8, t5, v2 v ccio7 e3, g5 e2, g5, j8, k4 v ccio8 t15 aa22, u19 v ccj k7 w 4 v ccaux g8, h10, j7, k9 h11, h12, l15, l8, m15, m8, r11, r12 v ccpll g10 r8, h15, h8, r15 serdes power 3 c15, b15, c12, a12, c11, c10, c14, c13, b9, c9, c5, c4, c8, c7, a6, c6, b3, c3 c22, b22, c19, a19, c18, c17, c21, c20, b16, c16, c12, c11, c15, c14, a13, c13, b10, c10 gnd 1 a1, a15, a16, a3, a9, b12, b6, e15, e2, h14, h8, h9, j3, j8, j9, m15, m2, p9, r12, r5, t1, t16 a1, a10, a16, a22, aa19, aa4, ab1, ab22, b13, b19, b4, d16, d2, d21, d7, g19, g4, h10, h13, j14, j9, k10, k11, k12, k13, k15, k20, k3, k8, l10, l11, l12, l13, m10, m11, m12, m13, n10, n11, n12, n13, n15, n20, n3, n8, p14, p9, r10, r13, t19, t4, w 16, w 2, w 21, w 7, y10, y13 nc 2 d10, d11, d12, d13, d14, d4, d5, d6, d7, e11, e6, e8, e9, f10, f7, f8, f9 lfe2m20: d14, d15, e14, e15, f13, f14, f15, g12, g13, g14, g15 lfe2m35: d14, d15, e14, e15, f13, f14, f15, g12, g13, g14, g15, u6 lfe2m50: y15, w 15, ab20, ab21, aa20, ab19, ab18, y22, y21, y17, y18, y16, w 17, y19, y20, w 19, w 18, v17, v18, d15, g14, g15, d14, e15, e14, f15, f14, f13, g12, g13 1. all grounds must be electrically connected at the board level. for fpbga packages, the total number of gnd balls is less than the actual number of gnd logic connections from the die to the common package gnd plane. 2. nc pins should not be connected to any active signals, vcc or gnd. 3. for package migration across device densities, the designer must comprehend the package pin requirements for the serdes bloc ks. spe- ci?ally, the serdes power pins of the largest density device must be accounted to accommodate migration to other smaller devic es using the same package. please refer to tn1160, latticeecp2/m density mig r ation for more details.
4-19 pinout information lattice semiconductor latticeecp2/m family data sheet latticeecp2m power supply and nc (cont.) signal 672 fpbga 900 fpbga v cc lfe2m35: ad13, ad14, ad16, ad17, ad19, ad21, ad22, ad24, ad25, l12, l13, l14, l15, m11, m12, m15, m16, n11, n16, p11, p16, r11, r12, r15, r16, t12, t13, t14, t15 lfe2m50: l12, l13, l14, l15, m11, m12, m15, m16, n11, n16, p11, p16, r11, r12, r15, r16, t12, t13, t14, t15 lfe2m50: ah1, ah4, ah5, ah2, ah7, ah12, ah9, ah10, ah13, c13, c10, c9, c12, c7, c2, c5, c4, c1, l12, l13, l18, l19, m11, m12, m13, m14, m15, m16, m17, m18, m19, m20, n11, n12, n19, n20, p12, p19, r12, r19, t12, t19, u12, u19, v11, v12, v19, v20, w 11, w 12, w 13, w 14, w 15, w 16, w 17, w 18, w 19, w 20, y12, y13, y18, y19 lfe2m70/lfe2m100: l12, l13, l18, l19, m11, m12, m13, m14, m15, m16, m17, m18, m19, m20, n11, n12, n19, n20, p12, p19, r12, r19, t12, t19, u12, u19, v11, v12, v19, v20, w 11, w 12, w 13, w 14, w 15, w 16, w 17, w 18, w 19, w 20, y12, y13, y18, y19 v ccio0 b12, b7, f11, j13, k12 d14, e6, e9, f12, k12, k13 v ccio1 d18, f16, j14, k15 d17, e22, e25, f19, k18, k19 v ccio2 g25, l21, m17, m25, n18 f28, j25, k28, m21, m24, n21, n28, p21, r25 v ccio3 p18, r17, r25, t21, y25 aa28, ab25, ae28, t25, u21, v21, v28, w 21, w 24 v ccio4 aa16, ac18, u15, v14 aa18, aa19, ae19, af22, ag17, ag25 v ccio5 aa11, ae12, ae7, u12, v13 aa12, aa13, ae12, af9, ag14, ag6 v ccio6 p9, r10, r2, t6, y2 aa3, ab6, ae3, t6, u10, v10, v3, w 10, w 7 v ccio7 g2, l6, m10, m2, n9 f3, j6, k3, m10, m7, n10, n3, p10, r6 v ccio8 ac24, u17 aa25, ad28 v ccj aa7 ag1 v ccaux lfe2m35: ae19, j11, j12, j15, j16, l18, l9, m18, m9, r18, r9, t18, t9, v11, v12, v15, v16 lfe2m50: j11, j12, j15, j16, l18, l9, m18, m9, r18, r9, t18, t9, v11, v12, v15, v16 lfe2m50: aj7, b7, aa10, aa11, aa20, aa21, k10, k11, k20, k21, l10, l11, l20, l21, y10, y11, y20, y21 lfe2m70/lfe2m100: aa10, aa11, aa20, aa21, k10, k11, k20, k21, l10, l11, l20, l21, y10, y11, y20, y21 v ccpll h7, k6, p7, r8, v18, p20, j17, g19 n13, n18, v13, v18 serdes power 3 lfe2m35: c25, b25, c22, a22, c21, c20, c24, c23, b19, c19, c15, c14, c18, c17, a16, c16, b13, c13 lfe2m50: ad13, ae13, ad16, af16, ad17, ad18, ad14, ad15, ad19, ae19, ad23, ad24, ad20, ad21, af22, ad22, ae25, ad25, c25, b25, c22, a22, c21, c20, c24, c23, b19, c19, c15, c14, c18, c17, a16, c16, b13, c13 lfe2m50: ah18, aj18, ah21, ak21, ah22, ah23, ah19, ah20, ah24, aj24, ah28, ah29, ah25, ah26, ak27, ah27, aj30, ah30, c30, b30, c27, a27, c26, c25, c29, c28, b24, c24, c20, c19, c23, c22, a21, c21, b18, c18 lfe2m70/lfe2m100: c13, b13, c10, a10, c9, c8, c12, c11, b7, c7, c3, c2, c6, c5, a4, c4, b1, c1, c30, b30, c27, a27, c26, c25, c29, c28, b24, c24, c20, c19, c23, c22, a21, c21, b18, c18, ah18, aj18, ah21, ak21, ah22, ah23, ah19, ah20, ah24, aj24, ah28, ah29, ah25, ah26, ak27, ah27, aj30, ah30, ah1, aj1, ah4, ak4, ah5, ah6, ah2, ah3, ah7, aj7, ah11, ah12, ah8, ah9, ak10, ah10, aj13, ah13
4-20 pinout information lattice semiconductor latticeecp2/m family data sheet gnd 1 a13, a19, a2, a25, aa2, aa25, ab18, ab22, ab5, ab9, ae1, ae11, ae16, ae22, ae26, ae6, af13, af19, af2, af25, b1, b11, b16, b22, b26, b6, e18, e22, e5, e9, f2, f25, g11, g16, j22, j5, k11, k13, k14, k16, l10, l11, l16, l17, l2, l20, l25, l7, m13, m14, n10, n12, n13, n14, n15, n17, p10, p12, p13, p14, p15, p17, r13, r14, t10, t11, t16, t17, t2, t20, t25, t7, u11, u13, u14, u16, v22, v5, y11, y16 lfe2m50: a1, a13, a18, a24, a30, a7, aa14, aa15, aa16, aa17, aa24, aa27, aa4, ab24, ab7, ad12, ad19, ad27, ae22, ae27, ae4, ae9, af14, af17, af25, af6, aj10, aj21, aj27, aj4, ak1, ak13, ak18, ak24, ak30, ak7, b10, b21, b27, b4, d25, d6, e14, e17, f22, f27, f4, f9, g12, g19, j24, j7, k14, k15, k16, k17, k27, k4, l14, l15, l16, l17, m23, m8, n14, n15, n16, n17, n27, n4, p11, p13, p14, p15, p16, p17, p18, p20, r10, r11, r13, r14, r15, r16, r17, r18, r20, r21, r24, r7, t10, t11, t13, t14, t15, t16, t17, t18, t20, t21, t24, t7, u11, u13, u14, u15, u16, u17, u18, u20, v14, v15, v16, v17, v27, v4, w 23, w 8, y14, y15, y16, y17 lfe2m70/lfe2m100: a1, a13, a18, a24, a30, a7, aa14, aa15, aa16, aa17, aa24, aa27, aa4, ab24, ab7, ad12, ad19, ad27, ae22, ae27, ae4, ae9, af14, af17, af25, af6, aj10, aj21, aj27, aj4, ak1, ak13, ak18, ak24, ak30, ak7, b10, b21, b27, b4, d25, d6, e14, e17, f22, f27, f4, f9, g12, g19, j24, j7, k14, k15, k16, k17, k27, k4, l14, l15, l16, l17, m23, m8, n14, n15, n16, n17, n27, n4, p11, p13, p14, p15, p16, p17, p18, p20, r10, r11, r13, r14, r15, r16, r17, r18, r20, r21, r24, r7, t10, t11, t13, t14, t15, t16, t17, t18, t20, t21, t24, t7, u11, u13, u14, u15, u16, u17, u18, u20, v14, v15, v16, v17, v27, v4, w 23, w 8, y14, y15, y16, y17 nc 2 lfe2m35: ab3, ab4, ac1, ac2, ad15, ad18, ad20, ad23, ae13, ae25, af16, af22, b4, b5, c26, d20, d21, d22, d23, d24, d25, d26, e20, e21, e25, e26, f20, g20, k10, k17, r4, u10, u23, v10, w 7, n7, v7 lfe2m50: ab3, ab4, ac1, ac2, b4, b5, c26, d20, d21, d22, d23, d24, d25, d26, e20, e21, e25, e26, f20, g20, k10, k17, r4, u10, u23, v10, w 7, ab21, ac20, ac21, ac22, ac23, ac25, ad26, w 20 lfe2m50: g5, g4, k7, k8, e1, f2, f1, g3, g2, g1, l9, l7, k6, k5, l8, l6, aa1, aa2, y3, ab1, y9, y8, y7, aa7, ab2, ab3, aa5, aa6, ab4, ab5, aa8, aa9, aj1, ak4, ah6, ah3, ah11, ah8, ak10, aj13, ab26, ab27, y24, y25, aa29, y28, y30, y29, w 22, v22, y27, y26, w 30, w 29, w 25, w 26, l24, l23, d30, d29, k24, k25, j27, k26, j26, h26, h27, g26, h23, h24, d28, e28, j18, j19, h17, j17, f18, f17, b13, a10, c8, c11, c3, c6, a4, b1, aa26, ab11, ab12, ab13, ab14, ab15, ab16, ab17, ab19, ab20, ab21, ac11, ac21, ac22, ad21, ad22, ae23, af20, af23, ag23, ag26, f20, f23, g10, g20, g21, h19, h20, h21, h22, j20, j21, r9, u22, w 9 lfe2m70/lfe2m100: aa26, ab10, ab11, ab12, ab13, ab14, ab15, ab16, ab17, ab19, ab20, ab21, ab9, ac10, ac11, ac21, ac22, ac8, ac9, ad21, ad22, ad4, ad5, ad6, ad7, ad8, ae23, ae5, ae6, ae7, af20, af23, af5, ag23, ag26, d10, e10, e11, f10, f20, f23, f8, g10, g20, g21, g7, g8, g9, h19, h20, h21, h22, h6, h8, h9, j10, j20, j21, j9, k9, r9, u22, w 9 1. all grounds must be electrically connected at the board level. for fpbga packages, the total number of gnd balls is less than the actual number of gnd logic connections from the die to the common package gnd plane. 2. nc pins should not be connected to any active signals, vcc or gnd. 3. for package migration across device densities, the designer must comprehend the package pin requirements for the serdes bloc ks. spe- ci?ally, the serdes power pins of the largest density device must be accounted to accommodate migration to other smaller devic es using the same package. please refer to tn1160, latticeecp2/m density mig r ation for more details. latticeecp2m power supply and nc (cont.) signal 672 fpbga 900 fpbga
4-21 pinout information lattice semiconductor latticeecp2/m family data sheet latticeecp2m power supply and nc (cont.) signal 1152 fpbga v cc aa13, aa14, aa15, aa16, aa17, aa18, aa19, aa20, aa21, aa22, ab14, ab15, ab20, ab21, n14, n15, n20, n21, p13, p14, p15, p16, p17, p18, p19, p20, p21, p22, r13, r14, r21, r22, t14, t21, u14, u21, v14, v21, w 14, w 21, y13, y14, y21, y22 v ccio0 c12, c16, e14, h12, h16, m14, m15 v ccio1 c19, c23, e21, h19, h23, m20, m21 v ccio2 g32, k28, k32, n27, n32, p23, r23, t27, t32 v ccio3 aa23, ab27, ab32, ae28, ae32, ah32, w 27, w 32, y23 v ccio4 ac20, ac21, ag19, ag23, ak21, am19, am23 v ccio5 ac14, ac15, ag12, ag16, ak14, am12, am16 v ccio6 aa12, ab3, ab8, ae3, ae7, ah3, w 3, w 8, y12 v ccio7 g3, k3, k7, n3, n8, p12, r12, t3, t8 v ccio8 ad28, ag32 v ccj ak3 v ccaux ab12, ab13, ab22, ab23, ac13, ac22, m13, m22, n12, n13, n22, n23 v ccpll r15, r20, y15, y20 serdes power 3 d7, b9, b8, d9, b7, e7, b6, d8, e6, d6, d4, b5, d3, b4, c1, b3, b1, b2, b33, b34, b32, c34, b31, d32, b30, d31, e29, d29, d27, b29, e28, b28, d26, b27, b26, d28, al28, an26, an27, al26, an28, ak28, an29, al27, al29, ak29, al31, an30, al32, an31, am34, an32, an34, an33, an2, an1, an3, am1, an4, al3, an5, al4, al6, ak6, al8, an6, ak7, an7, al9, an8, an9, al7 gnd 1 a1, a10, a13, a22, a25, a34, ab16, ab17, ab18, ab19, ab26, ab31, ab4, ab9, ac16, ac17, ac18, ac19, ad27, ae27, ae31, ae4, ae8, af12, af16, af19, af23, ag31, ah31, ah4, aj14, aj21, ak27, ak8, al10, al16, al19, al2, al25, al33, ap1, ap10, ap13, ap22, ap25, ap34, d10, d16, d19, d2, d25, d33, e27, e8, f14, f21, g31, g4, j12, j16, j19, j23, k27, k31, k4, k8, m16, m17, m18, m19, n16, n17, n18, n19, n26, n31, n4, n9, r16, r17, r18, r19, t12, t13, t15, t16, t17, t18, t19, t20, t22, t23, t26, t31, t4, t9, u12, u13, u15, u16, u17, u18, u19, u20, u22, u23, v12, v13, v15, v16, v17, v18, v19, v20, v22, v23, w 12, w 13, w 15, w 16, w 17, w 18, w 19, w 20, w 22, w 23, w 26, w 31, w 4, w 9, y16, y17, y18, y19 nc 2 lfe2m70: h2, h1, g5, g6, m9, m10, h3, h4, p3, p4, p9, m7, p1, p2, n7, p7, ac7, ac5, ac6, ad5, ad4, ad3, ad10, ad8, ad2, ad1, ad9, ac11, ad6, ad7, ae1, ae2, aj12, ah12, al13, ak13, ae14, ag13, ah22, ah21, ag22, ag21, af33, af34, ac27, ac28, ad29, ad30, ae33, ae34, ad32, ad31, ab25, ac25, ab28, aa26, ad33, ad34, p30, p29, p31, p32, r25, t24, n34, n33, f24, g23, j22, g22, h21, k21, l19, l20, l18, k19, j14, l15, h14, k14, f12, d11, f11, e11, a11, a12, a23, a24, aa11, ab11, ac26, ac30, ad11, ad12, ad13, ad14, ad15, ad19, ad21, ad22, ad23, ae10, ae11, ae12, ae13, ae19, ae21, ae22, ae23, af11, af21, af22, af24, af8, af9, ag10, ag11, ag24, ag25, ag26, ag3, ag7, ag8, ag9, ah10, ah11, ah13, ah24, ah25, ah26, ah27, ah5, ah6, ah7, ah8, ah9, aj10, aj11, aj13, aj24, aj25, aj26, aj27, aj3, aj4, aj5, aj6, aj7, aj8, aj9, ak10, ak11, ak12, ak24, ak25, ak26, ak4, ak9, al11, al12, al34, am10, am11, am13, am25, an10, an11, an12, an13, an24, an25, ap11, ap12, ap24, b10, b11, b12, b13, b22, b23, b24, b25, c10, c11, c13, c22, c24, c25, d1, d15, d24, d34, e10, e24, e25, e26, e3, e31, e32, e33, e34, e4, e9, f10, f25, f26, f27, f28, f29, f30, f31, f32, f33, f34, f5, f6, f7, f8, f9, g10, g11, g24, g25, g26, g27, g28, g29, g30, g33, g34, g7, g8, g9, h10, h11, h24, h25, h26, h27, h28, h29, h8, h9, j10, j11, j24, j25, j26, j9, k10, k11, k12, k13, k23, k24, k25, k26, l11, l12, l13, l14, l21, l22, l23, l24, l25, l26, m11, m24, m25, m6, m8, n10, n11, p10, p25, p26, r9, t11, u11, w 11, y10, y11 lfe2m100: a11, a12, a23, a24, aa11, ab11, ac26, ac30, ad11, ad12, ad13, ad14, ad15, ad19, ad21, ad22, ad23, ae10, ae11, ae12, ae13, ae19, ae21, ae22, ae23, af11, af21, af22, af24, af8, af9, ag10, ag11, ag24, ag25, ag26, ag3, ag7, ag8, ag9, ah10, ah11, ah13, ah24, ah25, ah26, ah27, ah5, ah6, ah7, ah8, ah9, aj10, aj11, aj13, aj24, aj25, aj26, aj27, aj3, aj4, aj5, aj6, aj7, aj8, aj9, ak10, ak11, ak12, ak24, ak25, ak26, ak4, ak9, al11, al12, al34, am10, am11, am13, am25, an10, an11, an12, an13, an24, an25, ap11, ap12, ap24, b10, b11, b12, b13, b22, b23, b24, b25, c10, c11, c13, c22, c24, c25, d1, d15, d24, d34, e10, e24, e25, e26, e3, e31, e32, e33, e34, e4, e9, f10, f25, f26, f27, f28, f29, f30, f31, f32, f33, f34, f5, f6, f7, f8, f9, g10, g11,g24, g25, g26, g27, g28, g29, g30, g33, g34, g7, g8, g9, h10, h11, h24, h25, h26, h27, h28, h29, h8, h9, j10, j11, j24, j25, j26, j9, k10, k11, k12, k13, k23, k24, k25, k26, l11, l12, l13, l14, l21, l22, l23, l24, l25, l26, m11, m24, m25, m6, m8, n10, n11, p10, p25, p26, r9, t11, u11, w 11, y10, y11 1. all grounds must be electrically connected at the board level. for fpbga packages, the total number of gnd balls is less than the actual number of gnd logic connections from the die to the common package gnd plane. 2. nc pins should not be connected to any active signals, vcc or gnd. 3. for package migration across device densities, the designer must comprehend the package pin requirements for the serdes bloc ks. speci?ally, the serdes power pins of the largest density device must be accounted to accommodate migration to other smaller de vices using the same package. please refer to tn1160, latticeecp2/m density mig r ation for more details.
4-22 pinout information lattice semiconductor latticeecp2/m family data sheet lfe2-6e/se and lfe2-12e/se logic signal connections: 144 tqfp lfe2-6e/se lfe2-12e/12se pin number pin/pad function bank dual function differential pin/pad function bank dual function differential 1 pl2a 7 vref2_7 t (lvds)* pl2a 7 vref2_7 t (lvds)* 2 pl2b 7 vref1_7 c (lvds)* pl2b 7 vref1_7 c (lvds)* 3 pl4a 7 t (lvds)* pl4a 7 t (lvds)* 4 pl4b 7 c (lvds)* pl4b 7 c (lvds)* 5 pl6a 7 ldq10 t (lvds)* pl6a 7 ldq10 t (lvds)* 6 vccaux - vccaux - 7 pl6b 7 ldq10 c (lvds)* pl6b 7 ldq10 c (lvds)* 8 pl8a 7 ldq10 t (lvds)* pl8a 7 ldq10 t (lvds)* 9 vccio7 7 vccio7 7 10 pl8b 7 ldq10 c (lvds)* pl8b 7 ldq10 c (lvds)* 11 gnd - gnd - 12 pl12a 7 ldq10 t (lvds)* pl12a 7 ldq10 t (lvds)* 13 pl12b 7 ldq10 c (lvds)* pl12b 7 ldq10 c (lvds)* 14 pl13a 7 pclkt7_0/ldq10 t pl13a 7 pclkt7_0/ldq10 t 15 pl13b 7 pclkc7_0/ldq10 c pl13b 7 pclkc7_0/ldq10 c 16 vcc - vcc - 17 pl15a 6 pclkt6_0 t (lvds)* pl15a 6 pclkt6_0 t (lvds)* 18 pl15b 6 pclkc6_0 c (lvds)* pl15b 6 pclkc6_0 c (lvds)* 19 pl16a 6 vref2_6 t pl16a 6 vref2_6 t 20 pl16b 6 vref1_6 c pl16b 6 vref1_6 c 21 gnd - gnd - 22 vcc - vcc - 23 pl18a 6 llm0_gdllt_fb_a t pl18a 6 llm0_gdllt_fb_a t 24 pl18b 6 llm0_gdllc_fb_a c pl18b 6 llm0_gdllc_fb_a c 25 llm0_pllcap 6 llm0_pllcap 6 26 pl20a 6 llm0_gpllt_in_a** t (lvds)* pl20a 6 llm0_gpllt_in_a** t (lvds)* 27 pl20b 6 llm0_gpllc_in_a** c (lvds)* pl20b 6 llm0_gpllc_in_a** c (lvds)* 28 pl22a 6 pl22a 6 29 vcc - vcc - 30 gnd - gnd - 31 vccio6 6 vccio6 6 32 tck - tck - 33 tdi - tdi - 34 tdo - tdo - 35 vccj - vccj - 36 tms - tms - 37 pb2a 5 vref2_5/bdq6 t pb2a 5 vref2_5/bdq6 t 38 pb2b 5 vref1_5/bdq6 c pb2b 5 vref1_5/bdq6 c 39 vccaux - vccaux - 40 pb4a 5 bdq6 t pb6a 5 bdqs6 t 41 pb4b 5 bdq6 c pb6b 5 bdq6 c 42 vccio5 5 vccio5 5 43 pb6a 5 bdqs6 t pb12a 5 bdq15 t 44 pb6b 5 bdq6 c pb12b 5 bdq15 c 45 nc 5 pb16a 5 bdq15 t
4-23 pinout information lattice semiconductor latticeecp2/m family data sheet 46 nc 5 pb16b 5 bdq15 c 47 gnd - gnd - 48 vcc vcc - 49 pb8a 5 pclkt5_0/bdq6 t pb26a 5 pclkt5_0/bdq24 t 50 pb8b 5 pclkc5_0/bdq6 c pb26b 5 pclkc5_0/bdq24 c 51 gnd - gnd - 52 pb13a 4 pclkt4_0/bdq15 t pb31a 4 pclkt4_0/bdq33 t 53 pb13b 4 pclkc4_0/bdq15 c pb31b 4 pclkc4_0/bdq33 c 54 vcc - vcc - 55 pb14a 4 bdq15 t pb34a 4 bdq33 t 56 pb14b 4 bdq15 c pb34b 4 bdq33 c 57 pb16a 4 bdq15 t pb40a 4 bdq42 t 58 pb16b 4 bdq15 c pb40b 4 bdq42 c 59 pb18a 4 bdq15 t pb44a 4 bdq42 t 60 pb18b 4 bdq15 c pb44b 4 bdq42 c 61 gnd - gnd - 62 pb20a 4 bdq24 t pb48a 4 bdq51 t 63 pb20b 4 bdq24 c pb48b 4 bdq51 c 64 vccio4 4 vccio4 4 65 pb22a 4 bdq24 t pb50a 4 bdq51 t 66 pb22b 4 bdq24 c pb50b 4 bdq51 c 67 pb24a 4 bdqs24 t pb52a 4 bdq51 t 68 pb24b 4 bdq24 c pb52b 4 bdq51 c 69 pb26a 4 bdq24 t pb54a 4 bdq51 t 70 pb26b 4 bdq24 c pb54b 4 bdq51 c 71 pb28a 4 vref2_4/bdq24 t pb55a 4 vref2_4/bdq51 t 72 pb28b 4 vref1_4/bdq24 c pb55b 4 vref1_4/bdq51 c 73 cfg1 8 cfg1 8 74 cfg2 8 cfg2 8 75 programn 8 programn 8 76 initn 8 initn 8 77 cfg0 8 cfg0 8 78 cclk 8 cclk 8 79 done 8 done 8 80 pr29a 8 d0/spifastn pr29a 8 d0/spifastn 81 gnd - gnd - 82 pr26a 8 d6 pr26a 8 d6 83 vcc - vcc - 84 pr25b 8 d7 c pr25b 8 d7 c 85 vccio8 8 vccio8 8 86 pr25a 8 di/csspi0n t pr25a 8 di/csspi0n t 87 pr24b 8 dout/cson c pr24b 8 dout/cson c 88 pr24a 8 busy/sispi t pr24a 8 busy/sispi t 89 vccio3 3 vccio3 3 90 vccaux - vccaux - lfe2-6e/se and lfe2-12e/se logic signal connections: 144 tqfp (cont.) lfe2-6e/se lfe2-12e/12se pin number pin/pad function bank dual function differential pin/pad function bank dual function differential
4-24 pinout information lattice semiconductor latticeecp2/m family data sheet 91 pr20b 3 rlm0_gpllc_in_a** c (lvds)* pr20b 3 rlm0_gpllc_in_a** c (lvds)* 92 pr20a 3 rlm0_gpllt_in_a** t (lvds)* pr20a 3 rlm0_gpllt_in_a** t (lvds)* 93 rlm0_pllcap 3 rlm0_pllcap 3 94 vcc - vcc - 95 gnd - gnd - 96 pr17b 3 rlm0_gdllc_in_a** c (lvds)* pr17b 3 rlm0_gdllc_in_a** c (lvds)* 97 pr17a 3 rlm0_gdllt_in_a** t (lvds)* pr17a 3 rlm0_gdllt_in_a** t (lvds)* 98 pr16b 3 vref2_3 c pr16b 3 vref2_3 c 99 pr16a 3 vref1_3 t pr16a 3 vref1_3 t 100 pr15b 3 pclkc3_0 c (lvds)* pr15b 3 pclkc3_0 c (lvds)* 101 pr15a 3 pclkt3_0 t (lvds)* pr15a 3 pclkt3_0 t (lvds)* 102 vcc - vcc - 103 pr13b 2 pclkc2_0/rdq10 c pr13b 2 pclkc2_0/rdq10 c 104 pr13a 2 pclkt2_0/rdq10 t pr13a 2 pclkt2_0/rdq10 t 105 gnd - gnd - 106 vccio2 2 vccio2 2 107 pr2b 2 vref2_2 c (lvds)* pr2b 2 vref2_2 c (lvds)* 108 pr2a 2 vref1_2 t (lvds)* pr2a 2 vref1_2 t (lvds)* 109 pt28b 1 vref2_1 c pt55b 1 vref2_1 c 110 pt28a 1 vref1_1 t pt55a 1 vref1_1 t 111 pt26b 1 c pt54b 1 c 112 pt26a 1 t pt54a 1 t 113 pt24b 1 c pt52b 1 c 114 pt24a 1 t pt52a 1 t 115 pt22b 1 c pt50b 1 c 116 pt22a 1 t pt50a 1 t 117 vccio1 1 vccio1 1 118 pt20b 1 c pt48b 1 c 119 pt20a 1 t pt48a 1 t 120 gnd - gnd - 121 pt18b 1 c pt44b 1 c 122 pt18a 1 t pt44a 1 t 123 pt16a 1 pt40b 1 c 124 nc 1 pt40a 1 t 125 pt14b 1 c pt34b 1 c 126 pt14a 1 t pt34a 1 t 127 nc 1 nc 1 128 vcc - vcc - 129 pt12b 1 pclkc1_0 c pt30b 1 pclkc1_0 c 130 pt12a 1 pclkt1_0 t pt30a 1 pclkt1_0 t 131 pt10b 0 pclkc0_0 c pt28b 0 pclkc0_0 c 132 xres 0 xres 0 133 gnd - gnd - 134 pt10a 0 pclkt0_0 t pt28a 0 pclkt0_0 t 135 vcc - vcc - lfe2-6e/se and lfe2-12e/se logic signal connections: 144 tqfp (cont.) lfe2-6e/se lfe2-12e/12se pin number pin/pad function bank dual function differential pin/pad function bank dual function differential
4-25 pinout information lattice semiconductor latticeecp2/m family data sheet 136 pt6b 0 c pt16b 0 c 137 pt6a 0 t pt16a 0 t 138 gnd - gnd - 139 vccio0 0 vccio0 0 140 pt4b 0 c pt6b 0 c 141 pt4a 0 t pt6a 0 t 142 vccaux - vccaux - 143 pt2b 0 vref2_0 c pt2b 0 vref2_0 c 144 pt2a 0 vref1_0 t pt2a 0 vref1_0 t * supports true lvds. other differential signals must be emulated with external resistors. ** these dedicated input pins can be used for gplls or gdlls within the respective quadrant. note: vccio and gnd pads are used to determine the average dc current drawn by i/os between gnd/vccio connections, or between t he last gnd/vccio in an i/o bank and the end of an i/o bank. the substrate pads listed in the pin table do not necessarily have a one-to-one connection with a package ball or pin. lfe2-6e/se and lfe2-12e/se logic signal connections: 144 tqfp (cont.) lfe2-6e/se lfe2-12e/12se pin number pin/pad function bank dual function differential pin/pad function bank dual function differential
4-26 pinout information lattice semiconductor latticeecp2/m family data sheet lfe2-12e/se and lfe2-20e/se logic signal connections: 208 pqfp lfe2-12e/se lfe2-20e/se pin number pin/pad function bank dual function differential pin/pad function bank dual function differential 1 pl2a 7 vref2_7 t (lvds)* pl2a 7 vref2_7 t (lvds)* 2 pl2b 7 vref1_7 c (lvds)* pl2b 7 vref1_7 c (lvds)* 3 pl4a 7 t (lvds)* pl6a 7 ldq8 t (lvds)* 4 pl4b 7 c (lvds)* pl6b 7 ldq8 c (lvds)* 5 gnd - gnd - 6 pl6a 7 ldq10 t (lvds)* pl12a 7 ldq16 t (lvds)* 7 vccaux - vccaux - 8 pl6b 7 ldq10 c (lvds)* pl12b 7 ldq16 c (lvds)* 9 pl8a 7 ldq10 t (lvds)* pl14a 7 ldq16 t (lvds)* 10 vccio7 7 vccio7 7 11 pl8b 7 ldq10 c (lvds)* pl14b 7 ldq16 c (lvds)* 12 vcc - vcc - 13 gnd - gnd - 14 vccio7 7 vccio7 7 15 pl12a 7 ldq10 t (lvds)* pl18a 7 ldq16 t (lvds)* 16 pl12b 7 ldq10 c (lvds)* pl18b 7 ldq16 c (lvds)* 17 gnd - gnd - 18 pl13a 7 pclkt7_0/ldq10 t pl19a 7 pclkt7_0/ldq16 t 19 vcc - vcc - 20 pl13b 7 pclkc7_0/ldq10 c pl19b 7 pclkc7_0/ldq16 c 21 pl15a 6 pclkt6_0 t (lvds)* pl21a 6 pclkt6_0/ldq25 t (lvds)* 22 pl15b 6 pclkc6_0 c (lvds)* pl21b 6 pclkc6_0/ldq25 c (lvds)* 23 pl16a 6 vref2_6 t pl22a 6 vref2_6/ldq25 t 24 pl16b 6 vref1_6 c pl22b 6 vref1_6/ldq25 c 25 gnd - gnd - 26 pl17a 6 llm0_gdllt_in_a** t (lvds)* pl27a 6 llm0_gdllt_in_a**/ldq25 t (lvds)* 27 pl17b 6 llm0_gdllc_in_a** c (lvds)* pl27b 6 llm0_gdllc_in_a**/ldq25 c (lvds)* 28 vcc - vcc - 29 llm0_pllcap 6 llm0_pllcap 6 30 vccaux - vccaux - 31 pl20a 6 llm0_gpllt_in_a** t (lvds)* pl30a 6 llm0_gpllt_in_a**/ldq34 t (lvds)* 32 gnd - gnd - 33 pl21a 6 llm0_gpllt_fb_a t pl31a 6 llm0_gpllt_fb_a/ldq34 t 34 pl20b 6 llm0_gpllc_in_a** c (lvds)* pl30b 6 llm0_gpllc_in_a**/ldq34 c (lvds)* 35 pl21b 6 llm0_gpllc_fb_a c pl31b 6 llm0_gpllc_fb_a/ldq34 c 36 pl23a 6 pl33a 6 ldq34 37 pl24a 6 ldq28 t (lvds)* pl38a 6 ldq42 t (lvds)* 38 vccio6 6 vccio6 6 39 pl24b 6 ldq28 c (lvds)* pl38b 6 ldq42 c (lvds)* 40 vcc - vcc - 41 pl26a 6 ldq28 t (lvds)* pl40a 6 ldq42 t (lvds)* 42 gnd - gnd - 43 pl26b 6 ldq28 c (lvds)* pl40b 6 ldq42 c (lvds)* 44 vccio6 6 vccio6 6 45 pl28a 6 ldqs28 t (lvds)* pl42a 6 ldqs42 t (lvds)*
4-27 pinout information lattice semiconductor latticeecp2/m family data sheet 46 pl28b 6 ldq28 c (lvds)* pl42b 6 ldq42 c (lvds)* 47 pl30a 6 ldq28 pl44a 6 ldq42 48 tck - tck - 49 tdi - tdi - 50 tdo - tdo - 51 vccj - vccj - 52 tms - tms - 53 pb2a 5 vref2_5/bdq6 t pb2a 5 vref2_5/bdq6 t 54 pb2b 5 vref1_5/bdq6 c pb2b 5 vref1_5/bdq6 c 55 vccio5 5 vccio5 5 56 pb6a 5 bdqs6 t pb6a 5 bdqs6 t 57 pb6b 5 bdq6 c pb6b 5 bdq6 c 58 pb8a 5 bdq6 t pb8a 5 bdq6 t 59 pb8b 5 bdq6 c pb8b 5 bdq6 c 60 gnd - gnd - 61 pb12a 5 bdq15 t pb12a 5 bdq15 t 62 pb12b 5 bdq15 c pb12b 5 bdq15 c 63 vccio5 5 vccio5 5 64 pb16a 5 bdq15 t pb16a 5 bdq15 t 65 pb16b 5 bdq15 c pb16b 5 bdq15 c 66 pb18a 5 bdq15 t pb18a 5 bdq15 t 67 pb18b 5 bdq15 c pb18b 5 bdq15 c 68 gnd - gnd - 69 pb20a 5 bdq24 t pb30a 5 bdq33 t 70 vccaux - vccaux - 71 pb20b 5 bdq24 c pb30b 5 bdq33 c 72 pb22a 5 bdq24 t pb32a 5 bdq33 t 73 pb22b 5 bdq24 c pb32b 5 bdq33 c 74 vcc - vcc - 75 pb26a 5 pclkt5_0/bdq24 t pb35a 5 pclkt5_0/bdq33 t 76 pb26b 5 pclkc5_0/bdq24 c pb35b 5 pclkc5_0/bdq33 c 77 gnd - gnd - 78 pb31a 4 pclkt4_0/bdq33 t pb40a 4 pclkt4_0/bdq42 t 79 pb31b 4 pclkc4_0/bdq33 c pb40b 4 pclkc4_0/bdq42 c 80 vcc - vcc - 81 gnd - gnd - 82 pb34a 4 bdq33 t pb42a 4 bdqs42 t 83 pb34b 4 bdq33 c pb42b 4 bdq42 c 84 pb36a 4 bdq33 t pb44a 4 bdq42 t 85 pb36b 4 bdq33 c pb44b 4 bdq42 c 86 vccaux - vccaux - 87 pb40a 4 bdq42 t pb50a 4 bdq51 t 88 pb40b 4 bdq42 c pb50b 4 bdq51 c 89 gnd - gnd - 90 pb42a 4 bdqs42 t pb52a 4 bdq51 t 91 pb42b 4 bdq42 c pb52b 4 bdq51 c lfe2-12e/se and lfe2-20e/se logic signal connections: 208 pqfp (cont.) lfe2-12e/se lfe2-20e/se pin number pin/pad function bank dual function differential pin/pad function bank dual function differential
4-28 pinout information lattice semiconductor latticeecp2/m family data sheet 92 pb44a 4 bdq42 t pb54a 4 bdq51 t 93 vccio4 4 vccio4 4 94 pb44b 4 bdq42 c pb54b 4 bdq51 c 95 pb48a 4 bdq51 t pb58a 4 bdq60 t 96 pb48b 4 bdq51 c pb58b 4 bdq60 c 97 vcc - vcc - 98 pb52a 4 bdq51 t pb60a 4 bdqs60 t 99 pb52b 4 bdq51 c pb60b 4 bdq60 c 100 vccio4 4 vccio4 4 101 pb54a 4 bdq51 pb63a 4 bdq60 102 gnd - gnd - 103 pb55a 4 vref2_4/bdq51 t pb64a 4 vref2_4/bdq60 t 104 pb55b 4 vref1_4/bdq51 c pb64b 4 vref1_4/bdq60 c 105 cfg1 8 cfg1 8 106 programn 8 programn 8 107 cfg2 8 cfg2 8 108 initn 8 initn 8 109 cfg0 8 cfg0 8 110 cclk 8 cclk 8 111 done 8 done 8 112 pr29a 8 d0/spifastn pr43a 8 d0/spifastn 113 vccio8 8 vccio8 8 114 pr26a 8 d6 pr40a 8 d6 115 gnd - gnd - 116 vcc - vcc - 117 pr25b 8 d7 c pr39b 8 d7 c 118 vccio8 8 vccio8 8 119 pr25a 8 di/csspi0n t pr39a 8 di/csspi0n t 120 pr24b 8 dout/cson c pr38b 8 dout/cson c 121 pr24a 8 busy/sispi t pr38a 8 busy/sispi t 122 gnd - gnd - 123 vccio3 3 vccio3 3 124 pr21a 3 rlm0_gpllt_fb_a pr31a 3 rlm0_gpllt_fb_a/rdq34 125 vccaux - vccaux - 126 pr20b 3 rlm0_gpllc_in_a** c (lvds)* pr30b 3 rlm0_gpllc_in_a**/rdq34 c (lvds)* 127 pr20a 3 rlm0_gpllt_in_a** t (lvds)* pr30a 3 rlm0_gpllt_in_a**/rdq34 t (lvds)* 128 rlm0_pllcap 3 rlm0_pllcap 3 129 vcc - vcc - 130 pr18b 3 rlm0_gdllc_fb_a c pr28b 3 rlm0_gdllc_fb_a/rdq25 c 131 pr18a 3 rlm0_gdllt_fb_a t pr28a 3 rlm0_gdllt_fb_a**/rdq25 t 132 pr17b 3 rlm0_gdllc_in_a** c (lvds)* pr27b 3 rlm0_gdllc_in_a/rdq25 c (lvds)* 133 pr17a 3 rlm0_gdllt_in_a** t (lvds)* pr27a 3 rlm0_gdllt_in_a**/rdq25 t (lvds)* 134 pr16b 3 vref2_3 c pr22b 3 vref2_3/rdq25 c 135 vccio3 3 vccio3 3 136 pr16a 3 vref1_3 t pr22a 3 vref1_3/rdq25 t 137 pr15b 3 pclkc3_0 c (lvds)* pr21b 3 pclkc3_0/rdq25 c (lvds)* lfe2-12e/se and lfe2-20e/se logic signal connections: 208 pqfp (cont.) lfe2-12e/se lfe2-20e/se pin number pin/pad function bank dual function differential pin/pad function bank dual function differential
4-29 pinout information lattice semiconductor latticeecp2/m family data sheet 138 pr15a 3 pclkt3_0 t (lvds)* pr21a 3 pclkt3_0/rdq25 t (lvds)* 139 gnd - gnd - 140 vcc - vcc - 141 pr13b 2 pclkc2_0/rdq10 c pr19b 2 pclkc2_0/rdq16 c 142 pr13a 2 pclkt2_0/rdq10 t pr19a 2 pclkt2_0/rdq16 t 143 vccio2 2 vccio2 2 144 pr12a 2 rdq10 pr16a 2 rdqs16 145 gnd - gnd - 146 vcc - vcc - 147 pr8b 2 rdq10 c (lvds)* pr14b 2 rdq16 c (lvds)* 148 vccio2 2 vccio2 2 149 pr8a 2 rdq10 t (lvds)* pr14a 2 rdq16 t (lvds)* 150 pr6b 2 rdq10 c (lvds)* pr12b 2 rdq16 c (lvds)* 151 vccaux - vccaux - 152 pr6a 2 rdq10 t (lvds)* pr12a 2 rdq16 t (lvds)* 153 pr4b 2 c (lvds)* pr6b 2 rdq8 c (lvds)* 154 pr4a 2 t (lvds)* pr6a 2 rdq8 t (lvds)* 155 pr2b 2 vref2_2 c (lvds)* pr2b 2 vref2_2 c (lvds)* 156 pr2a 2 vref1_2 t (lvds)* pr2a 2 vref1_2 t (lvds)* 157 pt55b 1 vref2_1 c pt64b 1 vref2_1 c 158 pt55a 1 vref1_1 t pt64a 1 vref1_1 t 159 gnd - gnd - 160 pt54b 1 c pt62b 1 c 161 pt54a 1 t pt62a 1 t 162 vccio1 1 vccio1 1 163 pt52b 1 c pt60b 1 c 164 pt52a 1 t pt60a 1 t 165 pt50b 1 c pt58b 1 c 166 pt50a 1 t pt58a 1 t 167 pt48b 1 c pt56b 1 c 168 pt48a 1 t pt56a 1 t 169 gnd - gnd - 170 vccio1 1 vccio1 1 171 vcc - vcc - 172 pt40b 1 c pt50b 1 c 173 pt40a 1 t pt50a 1 t 174 vccaux - vccaux - 175 gnd - gnd - 176 pt36b 1 c pt44b 1 c 177 pt36a 1 t pt44a 1 t 178 pt34b 1 c pt42b 1 c 179 pt34a 1 t pt42a 1 t 180 pt30b 1 pclkc1_0 c pt39b 1 pclkc1_0 c 181 pt30a 1 pclkt1_0 t pt39a 1 pclkt1_0 t 182 xres 1 xres 1 183 pt28b 0 pclkc0_0 c pt37b 0 pclkc0_0 c lfe2-12e/se and lfe2-20e/se logic signal connections: 208 pqfp (cont.) lfe2-12e/se lfe2-20e/se pin number pin/pad function bank dual function differential pin/pad function bank dual function differential
4-30 pinout information lattice semiconductor latticeecp2/m family data sheet 184 gnd - gnd - 185 pt28a 0 pclkt0_0 t pt37a 0 pclkt0_0 t 186 pt26b 0 c pt36b 0 c 187 pt26a 0 t pt36a 0 t 188 vcc - vcc - 189 pt20b 0 c pt30b 0 c 190 vccaux - vccaux - 191 pt20a 0 t pt30a 0 t 192 gnd - gnd - 193 pt18b 0 c pt26b 0 c 194 pt18a 0 t pt26a 0 t 195 vccio0 0 vccio0 0 196 pt16b 0 c pt20b 0 c 197 pt16a 0 t pt20a 0 t 198 vcc - vcc - 199 pt12b 0 c pt12b 0 c 200 pt12a 0 t pt12a 0 t 201 gnd - gnd - 202 pt8b 0 c pt8b 0 c 203 pt8a 0 t pt8a 0 t 204 pt6b 0 c pt6b 0 c 205 pt6a 0 t pt6a 0 t 206 vccio0 0 vccio0 0 207 pt2b 0 vref2_0 c pt2b 0 vref2_0 c 208 pt2a 0 vref1_0 t pt2a 0 vref1_0 t * supports true lvds. other differential signals must be emulated with external resistors. ** these dedicated input pins can be used for gplls or gdlls within the respective quadrant. note: vccio and gnd pads are used to determine the average dc current drawn by i/os between gnd/vccio connections, or between t he last gnd/vccio in an i/o bank and the end of an i/o bank. the substrate pads listed in the pin table do not necessarily have a one to one connection with a package ball or pin. lfe2-12e/se and lfe2-20e/se logic signal connections: 208 pqfp (cont.) lfe2-12e/se lfe2-20e/se pin number pin/pad function bank dual function differential pin/pad function bank dual function differential
4-31 pinout information lattice semiconductor latticeecp2/m family data sheet lfe2-6e/se and lfe2-12e/se logic signal connections: 256 fpbga lfe2-6e/se lfe2-12e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential c3 pl2a 7 vref2_7 t (lvds)* pl2a 7 vref2_7 t (lvds)* c2 pl2b 7 vref1_7 c (lvds)* pl2b 7 vref1_7 c (lvds)* vccio vccio7 7 vccio7 7 --- -- d3 pl5a 7 t pl5a 7 t d4 pl4a 7 t (lvds)* pl4a 7 t (lvds)* d2 pl5b 7 c pl5b 7 c gnd gndio7 - gndio7 - e4 pl4b 7 c (lvds)* pl4b 7 c (lvds)* b1 pl7a 7 ldq10 t pl7a 7 ldq10 t c1 pl7b 7 ldq10 c pl7b 7 ldq10 c f5 pl9a 7 ldq10 t pl9a 7 ldq10 t vccio vccio7 7 vccio7 7 f4 pl8a 7 ldq10 t (lvds)* pl8a 7 ldq10 t (lvds)* g6 pl9b 7 ldq10 c pl9b 7 ldq10 c g4 pl8b 7 ldq10 c (lvds)* pl8b 7 ldq10 c (lvds)* d1 pl10a 7 ldqs10 t (lvds)* pl10a 7 ldqs10 t (lvds)* gnd gndio7 - gndio7 - e1 pl10b 7 ldq10 c (lvds)* pl10b 7 ldq10 c (lvds)* f3 pl11a 7 ldq10 t pl11a 7 ldq10 t g3 pl11b 7 ldq10 c pl11b 7 ldq10 c vccio vccio7 7 vccio7 7 f2 pl12a 7 ldq10 t (lvds)* pl12a 7 ldq10 t (lvds)* f1 pl12b 7 ldq10 c (lvds)* pl12b 7 ldq10 c (lvds)* gnd gndio7 - gndio7 - g2 pl13a 7 pclkt7_0/ldq10 t pl13a 7 pclkt7_0/ldq10 t g1 pl13b 7 pclkc7_0/ldq10 c pl13b 7 pclkc7_0/ldq10 c h6 pl15a 6 pclkt6_0 t (lvds)* pl15a 6 pclkt6_0 t (lvds)* vccio vccio6 6 vccio6 6 h5 pl15b 6 pclkc6_0 c (lvds)* pl15b 6 pclkc6_0 c (lvds)* h4 pl16a 6 vref2_6 t pl16a 6 vref2_6 t gnd gndio6 - gndio6 - h3 pl16b 6 vref1_6 c pl16b 6 vref1_6 c h2 pl17a 6 llm0_gdllt_in_a** t (lvds)* pl17a 6 llm0_gdllt_in_a** t (lvds)* h1 pl17b 6 llm0_gdllc_in_a** c (lvds)* pl17b 6 llm0_gdllc_in_a** c (lvds)* g10 vcc - vcc - j4 pl18a 6 llm0_gdllt_fb_a t pl18a 6 llm0_gdllt_fb_a t j5 pl18b 6 llm0_gdllc_fb_a c pl18b 6 llm0_gdllc_fb_a c j6 llm0_pllcap 6 llm0_pllcap 6 k4 pl20a 6 llm0_gpllt_in_a** t (lvds)* pl20a 6 llm0_gpllt_in_a** t (lvds)* gnd gndio6 - gndio6 - j1 pl21a 6 llm0_gpllt_fb_a t pl21a 6 llm0_gpllt_fb_a t k3 pl20b 6 llm0_gpllc_in_a** c (lvds)* pl20b 6 llm0_gpllc_in_a** c (lvds)* vccio vccio6 6 vccio6 6 j2 pl21b 6 llm0_gpllc_fb_a c pl21b 6 llm0_gpllc_fb_a c
4-32 pinout information lattice semiconductor latticeecp2/m family data sheet gnd gndio6 - gndio6 - l2 pl24a 6 ldq28 t (lvds)* pl24a 6 ldq28 t (lvds)* k2 pl25a 6 ldq28 t pl25a 6 ldq28 t l3 pl24b 6 ldq28 c (lvds)* pl24b 6 ldq28 c (lvds)* k1 pl25b 6 ldq28 c pl25b 6 ldq28 c vccio vccio6 6 vccio6 6 l4 pl26a 6 ldq28 t (lvds)* pl26a 6 ldq28 t (lvds)* l1 pl27a 6 ldq28 t pl27a 6 ldq28 t l5 pl26b 6 ldq28 c (lvds)* pl26b 6 ldq28 c (lvds)* m1 pl27b 6 ldq28 c pl27b 6 ldq28 c gnd gndio6 - gndio6 - n1 pl29a 6 ldq28 t pl29a 6 ldq28 t n2 pl28a 6 ldqs28 t (lvds)* pl28a 6 ldqs28 t (lvds)* p1 pl29b 6 ldq28 c pl29b 6 ldq28 c vccio vccio6 6 vccio6 6 p2 pl28b 6 ldq28 c (lvds)* pl28b 6 ldq28 c (lvds)* r1 pl30a 6 ldq28 t (lvds)* pl30a 6 ldq28 t (lvds)* gnd gndio6 - gndio6 - r2 pl30b 6 ldq28 c (lvds)* pl30b 6 ldq28 c (lvds)* n4 tdi - tdi - m4 tck - tck - p3 tdo - tdo - n3 tms - tms - k7 vccj - vccj - m5 pb2a 5 vref2_5/bdq6 t pb2a 5 vref2_5/bdq6 t k6 nc - pb3a 5 bdq6 m6 pb2b 5 vref1_5/bdq6 c pb2b 5 vref1_5/bdq6 c r3 nc - pb5a 5 bdq6 t p4 nc - pb5b 5 bdq6 c - - - vccio 5 - - - gndio5 5 n5 pb3a 5 bdq6 t pb21a 5 bdq24 t n6 pb3b 5 bdq6 c pb21b 5 bdq24 c t2 pb4a 5 bdq6 t pb22a 5 bdq24 t p6 pb5a 5 bdq6 t pb23a 5 bdq24 t vccio vccio5 5 vccio5 5 t3 pb4b 5 bdq6 c pb22b 5 bdq24 c r6 pb5b 5 bdq6 c pb23b 5 bdq24 c gnd gndio5 - gndio5 - r4 pb6a 5 bdqs6 t pb24a 5 bdqs24 t l6 pb7a 5 bdq6 t pb25a 5 bdq24 t t4 pb6b 5 bdq6 c pb24b 5 bdq24 c l7 pb7b 5 bdq6 c pb25b 5 bdq24 c n7 pb8a 5 pclkt5_0/bdq6 t pb26a 5 pclkt5_0/bdq24 t vccio vccio5 5 vccio5 5 lfe2-6e/se and lfe2-12e/se logic signal connections: 256 fpbga (cont.) lfe2-6e/se lfe2-12e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-33 pinout information lattice semiconductor latticeecp2/m family data sheet m8 pb8b 5 pclkc5_0/bdq6 c pb26b 5 pclkc5_0/bdq24 c gnd gndio5 - gndio5 - p7 pb13a 4 pclkt4_0/bdq15 t pb31a 4 pclkt4_0/bdq33 t r8 pb13b 4 pclkc4_0/bdq15 c pb31b 4 pclkc4_0/bdq33 c vccio vccio4 4 vccio4 4 t5 pb14a 4 bdq15 t pb32a 4 bdq33 t t6 pb14b 4 bdq15 c pb32b 4 bdq33 c t8 pb15a 4 bdqs15 t pb33a 4 bdqs33 t gnd gndio4 - gndio4 - r7 pb16a 4 bdq15 t pb34a 4 bdq33 t t9 pb15b 4 bdq15 c pb33b 4 bdq33 c t7 pb16b 4 bdq15 c pb34b 4 bdq33 c l8 pb17a 4 bdq15 t pb35a 4 bdq33 t vccio vccio4 4 vccio4 4 p8 pb18a 4 bdq15 t pb36a 4 bdq33 t l9 pb17b 4 bdq15 c pb35b 4 bdq33 c n8 pb18b 4 bdq15 c pb36b 4 bdq33 c r9 pb19a 4 bdq15 t pb37a 4 bdq33 t gnd gndio4 - gndio4 - r10 pb19b 4 bdq15 c pb37b 4 bdq33 c - - - vccio 4 - - - gndio4 4 n9 pb20a 4 bdq24 t pb47a 4 bdq51 t t10 pb21a 4 bdq24 t pb48a 4 bdq51 t m9 pb20b 4 bdq24 c pb47b 4 bdq51 c r11 pb21b 4 bdq24 c pb48b 4 bdq51 c p10 pb22a 4 bdq24 t pb49a 4 bdq51 t n11 pb23a 4 bdq24 t pb50a 4 bdq51 t vccio vccio4 4 vccio4 4 n10 pb22b 4 bdq24 c pb49b 4 bdq51 c p11 pb23b 4 bdq24 c pb50b 4 bdq51 c t11 pb24a 4 bdqs24 t pb51a 4 bdqs51 t gnd gndio4 - gndio4 - m11 pb25a 4 bdq24 t pb52a 4 bdq51 t t12 pb24b 4 bdq24 c pb51b 4 bdq51 c l11 pb25b 4 bdq24 c pb52b 4 bdq51 c t13 pb26a 4 bdq24 t pb53a 4 bdq51 t r13 pb27a 4 bdq24 t pb54a 4 bdq51 t vccio vccio4 4 vccio4 4 t14 pb26b 4 bdq24 c pb53b 4 bdq51 c p13 pb27b 4 bdq24 c pb54b 4 bdq51 c gnd gndio4 - gndio4 - n12 pb28a 4 vref2_4/bdq24 t pb55a 4 vref2_4/bdq51 t m12 pb28b 4 vref1_4/bdq24 c pb55b 4 vref1_4/bdq51 c r15 cfg2 8 cfg2 8 lfe2-6e/se and lfe2-12e/se logic signal connections: 256 fpbga (cont.) lfe2-6e/se lfe2-12e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-34 pinout information lattice semiconductor latticeecp2/m family data sheet n14 cfg1 8 cfg1 8 n13 programn 8 programn 8 n15 cfg0 8 cfg0 8 p15 pr30b 8 w riten c pr30b 8 w riten c l12 initn 8 initn 8 n16 pr29b 8 csn c pr29b 8 csn c gnd gndio8 - gndio8 - r14 cclk 8 cclk 8 p14 pr30a 8 cs1n t pr30a 8 cs1n t m13 done 8 done 8 r16 pr28b 8 d1 c pr28b 8 d1 c vccio vccio8 8 vccio8 8 m16 pr29a 8 d0/spifastn t pr29a 8 d0/spifastn t p16 pr28a 8 d2 t pr28a 8 d2 t l15 pr27b 8 d3 c pr27b 8 d3 c gnd gndio8 - gndio8 - l14 pr26a 8 d6 t pr26a 8 d6 t l16 pr27a 8 d4 t pr27a 8 d4 t l10 pr25b 8 d7 c pr25b 8 d7 c l13 pr26b 8 d5 c pr26b 8 d5 c vccio vccio8 8 vccio8 8 k11 pr25a 8 di/csspi0n t pr25a 8 di/csspi0n t k14 pr24b 8 dout/cson c pr24b 8 dout/cson c k13 pr24a 8 busy/sispi t pr24a 8 busy/sispi t gnd gndio8 - gndio8 - k15 pr21b 3 rlm0_gpllc_fb_a c pr21b 3 rlm0_gpllc_fb_a c vccio vccio3 3 vccio3 3 k16 pr21a 3 rlm0_gpllt_fb_a t pr21a 3 rlm0_gpllt_fb_a t gnd gndio3 - gndio3 - j16 pr20b 3 rlm0_gpllc_in_a** c (lvds)* pr20b 3 rlm0_gpllc_in_a** c (lvds)* j15 pr20a 3 rlm0_gpllt_in_a** t (lvds)* pr20a 3 rlm0_gpllt_in_a** t (lvds)* j14 rlm0_pllcap 3 rlm0_pllcap 3 j13 pr18b 3 rlm0_gdllc_fb_a c pr18b 3 rlm0_gdllc_fb_a c j12 pr18a 3 rlm0_gdllt_fb_a t pr18a 3 rlm0_gdllt_fb_a t h12 pr17b 3 rlm0_gdllc_in_a** c (lvds)* pr17b 3 rlm0_gdllc_in_a** c (lvds)* gnd gndio3 - gndio3 - h13 pr17a 3 rlm0_gdllt_in_a** t (lvds)* pr17a 3 rlm0_gdllt_in_a** t (lvds)* h15 pr16b 3 vref2_3 c pr16b 3 vref2_3 c vccio vccio3 3 vccio3 3 h16 pr16a 3 vref1_3 t pr16a 3 vref1_3 t h11 pr15b 3 pclkc3_0 c (lvds)* pr15b 3 pclkc3_0 c (lvds)* j11 pr15a 3 pclkt3_0 t (lvds)* pr15a 3 pclkt3_0 t (lvds)* g16 pr13b 2 pclkc2_0/rdq10 c pr13b 2 pclkc2_0/rdq10 c gnd gndio2 - gndio2 - g15 pr13a 2 pclkt2_0/rdq10 t pr13a 2 pclkt2_0/rdq10 t lfe2-6e/se and lfe2-12e/se logic signal connections: 256 fpbga (cont.) lfe2-6e/se lfe2-12e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-35 pinout information lattice semiconductor latticeecp2/m family data sheet f15 pr11b 2 rdq10 c pr11b 2 rdq10 c g11 pr12b 2 rdq10 c (lvds)* pr12b 2 rdq10 c (lvds)* f14 pr11a 2 rdq10 t pr11a 2 rdq10 t vccio vccio2 2 vccio2 2 f12 pr12a 2 rdq10 t (lvds)* pr12a 2 rdq10 t (lvds)* g14 pr10b 2 rdq10 c (lvds)* pr10b 2 rdq10 c (lvds)* g13 pr10a 2 rdqs10 t (lvds)* pr10a 2 rdqs10 t (lvds)* gnd gndio2 - gndio2 - f16 pr8b 2 rdq10 c (lvds)* pr8b 2 rdq10 c (lvds)* f9 pr9b 2 rdq10 c pr9b 2 rdq10 c e16 pr8a 2 rdq10 t (lvds)* pr8a 2 rdq10 t (lvds)* f10 pr9a 2 rdq10 t pr9a 2 rdq10 t vccio vccio2 2 vccio2 2 d16 pr7b 2 rdq10 c pr7b 2 rdq10 c d15 pr7a 2 rdq10 t pr7a 2 rdq10 t c15 pr4b 2 c (lvds)* pr4b 2 c (lvds)* c16 pr5b 2 c pr5b 2 c gnd gndio2 - gndio2 - d14 pr4a 2 t (lvds)* pr4a 2 t (lvds)* b16 pr5a 2 t pr5a 2 t f13 pr2b 2 vref2_2 c (lvds)* pr2b 2 vref2_2 c (lvds)* vccio vccio2 2 vccio2 2 e13 pr2a 2 vref1_2 t (lvds)* pr2a 2 vref1_2 t (lvds)* f11 pt28b 1 vref2_1 c pt55b 1 vref2_1 c e11 pt28a 1 vref1_1 t pt55a 1 vref1_1 t gnd gndio1 - gndio1 - a15 pt27b 1 c pt54b 1 c e12 pt26b 1 c pt53b 1 c b15 pt27a 1 t pt54a 1 t vccio vccio1 1 vccio1 1 d12 pt26a 1 t pt53a 1 t b14 pt25b 1 c pt52b 1 c c14 pt24b 1 c pt51b 1 c a14 pt25a 1 t pt52a 1 t d13 pt24a 1 t pt51a 1 t c13 pt23b 1 c pt50b 1 c gnd gndio1 - gndio1 - a13 pt22b 1 c pt49b 1 c b13 pt23a 1 t pt50a 1 t vccio vccio1 1 vccio1 1 a12 pt22a 1 t pt49a 1 t b11 pt21b 1 c pt48b 1 c d11 pt20b 1 c pt47b 1 c a11 pt21a 1 t pt48a 1 t c11 pt20a 1 t pt47a 1 t lfe2-6e/se and lfe2-12e/se logic signal connections: 256 fpbga (cont.) lfe2-6e/se lfe2-12e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-36 pinout information lattice semiconductor latticeecp2/m family data sheet - - - gndio1 1 - - - vccio 1 d10 pt19b 1 c pt37b 1 c c10 pt19a 1 t pt37a 1 t gnd gndio1 - gndio1 - b10 pt18b 1 c pt36b 1 c a9 pt17b 1 c pt35b 1 c a10 pt18a 1 t pt36a 1 t b9 pt17a 1 t pt35a 1 t vccio vccio1 1 vccio1 1 a8 pt16b 1 c pt34b 1 c d9 pt15b 1 c pt33b 1 c b8 pt16a 1 t pt34a 1 t c9 pt15a 1 t pt33a 1 t gnd gndio1 - gndio1 - b7 pt14b 1 c pt32b 1 c e9 pt13b 1 c pt31b 1 c a7 pt14a 1 t pt32a 1 t d8 pt13a 1 t pt31a 1 t vccio vccio1 1 vccio1 1 a6 pt12b 1 pclkc1_0 c pt30b 1 pclkc1_0 c b6 pt12a 1 pclkt1_0 t pt30a 1 pclkt1_0 t e6 xres - xres 1 f8 pt10b 0 pclkc0_0 c pt28b 0 pclkc0_0 c gnd gndio0 - gndio0 - e8 pt10a 0 pclkt0_0 t pt28a 0 pclkt0_0 t a5 pt9b 0 c pt27b 0 c a3 pt8b 0 c pt26b 0 c a4 pt9a 0 t pt27a 0 t vccio vccio0 0 vccio0 0 b3 pt8a 0 t pt26a 0 t a2 pt7b 0 c pt25b 0 c c7 pt6b 0 c pt24b 0 c b2 pt7a 0 t pt25a 0 t d7 pt6a 0 t pt24a 0 t d6 pt5b 0 c pt23b 0 c gnd gndio0 - gndio0 - f7 pt4b 0 c pt22b 0 c c6 pt5a 0 t pt23a 0 t vccio vccio0 0 vccio0 0 f6 pt4a 0 t pt22a 0 t c4 pt3b 0 c pt21b 0 c b4 pt3a 0 t pt21a 0 t - - - gndio0 0 - - - vccio 0 lfe2-6e/se and lfe2-12e/se logic signal connections: 256 fpbga (cont.) lfe2-6e/se lfe2-12e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-37 pinout information lattice semiconductor latticeecp2/m family data sheet d5 pt2b 0 vref2_0 c pt2b 0 vref2_0 c e5 pt2a 0 vref1_0 t pt2a 0 vref1_0 t g7 vcc - vcc - g9 vcc - vcc - h7 vcc - vcc - j10 vcc - vcc - k10 vcc - vcc - k8 vcc - vcc - g8 vccaux - vccaux - h10 vccaux - vccaux - j7 vccaux - vccaux - k9 vccaux - vccaux - c5 vccio0 0 vccio0 0 e7 vccio0 0 vccio0 0 c12 vccio1 1 vccio1 1 e10 vccio1 1 vccio1 1 e14 vccio2 2 vccio2 2 g12 vccio2 2 vccio2 2 k12 vccio3 3 vccio3 3 m14 vccio3 3 vccio3 3 m10 vccio4 4 vccio4 4 p12 vccio4 4 vccio4 4 m7 vccio5 5 vccio5 5 p5 vccio5 5 vccio5 5 k5 vccio6 6 vccio6 6 m3 vccio6 6 vccio6 6 e3 vccio7 7 vccio7 7 g5 vccio7 7 vccio7 7 t15 vccio8 8 vccio8 8 a1 gnd - gnd - a16 gnd - gnd - b12 gnd - gnd - b5 gnd - gnd - c8 gnd - gnd - e15 gnd - gnd - e2 gnd - gnd - h14 gnd - gnd - h8 gnd - gnd - h9 gnd - gnd - j3 gnd - gnd - j8 gnd - gnd - j9 gnd - gnd - m15 gnd - gnd - m2 gnd - gnd - p9 gnd - gnd - lfe2-6e/se and lfe2-12e/se logic signal connections: 256 fpbga (cont.) lfe2-6e/se lfe2-12e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-38 pinout information lattice semiconductor latticeecp2/m family data sheet r12 gnd - gnd - r5 gnd - gnd - t1 gnd - gnd - t16 gnd - gnd - * supports true lvds. other differential signals must be emulated with external resistors. ** these dedicated input pins can be used for gplls or gdlls within the respective quadrant. note: vccio and gnd pads are used to determine the average dc current drawn by i/os between gnd/vccio connections, or between t he last gnd/vccio in an i/o bank and the end of an i/o bank. the substrate pads listed in the pin table do not necessarily have a one to one connection with a package ball or pin. lfe2-6e/se and lfe2-12e/se logic signal connections: 256 fpbga (cont.) lfe2-6e/se lfe2-12e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-39 pinout information lattice semiconductor latticeecp2/m family data sheet lfe2-20e/se logic signal connections: 256 fpbga lfe2-20e/se ball number ball number ball/pad function bank dual function differential c3 c3 pl2a 7 vref2_7 t (lvds)* c2 c2 pl2b 7 vref1_7 c (lvds)* vccio vccio vccio7 7 - gnd gndio7 7 d3 d3 pl7a 7 ldq8 t d4 d4 pl6a 7 ldq8 t (lvds)* d2 d2 pl7b 7 ldq8 c gnd gnd gndio7 - e4 e4 pl6b 7 ldq8 c (lvds)* b1 b1 pl13a 7 ldq16 t c1 c1 pl13b 7 ldq16 c f5 f5 pl15a 7 ldq16 t vccio vcc vccio 7 f4 f4 pl14a 7 ldq16 t (lvds)* g6 g6 pl15b 7 ldq16 c g4 g4 pl14b 7 ldq16 c (lvds)* d1 d1 pl16a 7 ldqs16 t (lvds)* gnd gnd gndio7 - e1 e1 pl16b 7 ldq16 c (lvds)* f3 f3 pl17a 7 ldq16 t g3 g3 pl17b 7 ldq16 c vccio vccio vccio7 7 f2 f2 pl18a 7 ldq16 t (lvds)* f1 f1 pl18b 7 ldq16 c (lvds)* gnd gnd gndio7 - g2 g2 pl19a 7 pclkt7_0/ldq16 t g1 g1 pl19b 7 pclkc7_0/ldq16 c h6 h6 pl21a 6 pclkt6_0/ldq25 t (lvds)* vccio vccio vccio6 6 h5 h5 pl21b 6 pclkc6_0/ldq25 c (lvds)* h4 h4 pl22a 6 vref2_6/ldq25 t gnd gnd gndio6 - h3 h3 pl22b 6 vref1_6/ldq25 c h2 h2 pl27a 6 llm0_gdllt_in_a**/ldq25 t (lvds)* h1 h1 pl27b 6 llm0_gdllc_in_a**/ldq25 c (lvds)* g10 g10 vcc - j4 j4 pl28a 6 llm0_gdllt_fb_a/ldq25 t j5 j5 pl28b 6 llm0_gdllc_fb_a/ldq25 c j6 j6 llm0_pllcap 6 k4 k4 pl30a 6 llm0_gpllt_in_a**/ldq34 t (lvds)* gnd gnd gndio6 -
4-40 pinout information lattice semiconductor latticeecp2/m family data sheet j1 j1 pl31a 6 llm0_gpllt_fb_a/ldq34 t k3 k3 pl30b 6 llm0_gpllc_in_a**/ldq34 c (lvds)* vccio vccio vccio6 6 j2 j2 pl31b 6 llm0_gpllc_fb_a/ldq34 c gnd gnd gndio6 - l2 l2 pl38a 6 ldq42 t (lvds)* k2 k2 pl39a 6 ldq42 t l3 l3 pl38b 6 ldq42 c (lvds)* k1 k1 pl39b 6 ldq42 c vccio vccio vccio6 6 l4 l4 pl40a 6 ldq42 t (lvds)* l1 l1 pl41a 6 ldq42 t l5 l5 pl40b 6 ldq42 c (lvds)* m1 m1 pl41b 6 ldq42 c gnd gnd gndio6 - n1 n1 pl43a 6 ldq42 t n2 n2 pl42a 6 ldqs42 t (lvds)* p1 p1 pl43b 6 ldq42 c vccio vccio vccio6 6 p2 p2 pl42b 6 ldq42 c (lvds)* r1 r1 pl44a 6 ldq42 t (lvds)* gnd gnd gndio6 - r2 r2 pl44b 6 ldq42 c (lvds)* n4 n4 tdi - m4 m4 tck - p3 p3 tdo - n3 n3 tms - k7 k7 vccj - m5 m5 pb2a 5 vref2_5/bdq6 t k6 k6 pb3a 5 bdq6 m6 m6 pb2b 5 vref1_5/bdq6 c r3 r3 pb5a 5 bdq6 t p4 p4 pb5b 5 bdq6 c - vcc vccio 5 - gnd gndio5 5 n5 n5 pb30a 5 bdq33 t n6 n6 pb30b 5 bdq33 c t2 t2 pb31a 5 bdq33 t p6 p6 pb32a 5 bdq33 t vccio vccio vccio5 5 t3 t3 pb31b 5 bdq33 c r6 r6 pb32b 5 bdq33 c lfe2-20e/se logic signal connections: 256 fpbga (cont.) lfe2-20e/se ball number ball number ball/pad function bank dual function differential
4-41 pinout information lattice semiconductor latticeecp2/m family data sheet gnd gnd gndio5 - r4 r4 pb33a 5 bdqs33 t l6 l6 pb34a 5 bdq33 t t4 t4 pb33b 5 bdq33 c l7 l7 pb34b 5 bdq33 c n7 n7 pb35a 5 pclkt5_0/bdq33 t vccio vccio vccio5 5 m8 m8 pb35b 5 pclkc5_0/bdq33 c gnd gnd gndio5 - p7 p7 pb40a 4 pclkt4_0/bdq42 t r8 r8 pb40b 4 pclkc4_0/bdq42 c vccio vccio vccio4 4 t5 t5 pb41a 4 bdq42 t t6 t6 pb41b 4 bdq42 c t8 t8 pb42a 4 bdqs42 t gnd gnd gndio4 - r7 r7 pb43a 4 bdq42 t t9 t9 pb42b 4 bdq42 c t7 t7 pb43b 4 bdq42 c l8 l8 pb44a 4 bdq42 t vccio vccio vccio4 4 p8 p8 pb45a 4 bdq42 t l9 l9 pb44b 4 bdq42 c n8 n8 pb45b 4 bdq42 c r9 r9 pb46a 4 bdq42 t gnd gnd gndio4 - r10 r10 pb46b 4 bdq42 c - vcc vccio 4 - gnd gndio4 4 n9 n9 pb56a 4 bdq60 t t10 t10 pb57a 4 bdq60 t m9 m9 pb56b 4 bdq60 c r11 r11 pb57b 4 bdq60 c p10 p10 pb58a 4 bdq60 t n11 n11 pb59a 4 bdq60 t vccio vccio vccio4 4 n10 n10 pb58b 4 bdq60 c p11 p11 pb59b 4 bdq60 c t11 t11 pb60a 4 bdqs60 t gnd gnd gndio4 - m11 m11 pb61a 4 bdq60 t t12 t12 pb60b 4 bdq60 c lfe2-20e/se logic signal connections: 256 fpbga (cont.) lfe2-20e/se ball number ball number ball/pad function bank dual function differential
4-42 pinout information lattice semiconductor latticeecp2/m family data sheet l11 l11 pb61b 4 bdq60 c t13 t13 pb62a 4 bdq60 t r13 r13 pb63a 4 bdq60 t vccio vccio vccio4 4 t14 t14 pb62b 4 bdq60 c p13 p13 pb63b 4 bdq60 c gnd gnd gndio4 - n12 n12 pb64a 4 vref2_4/bdq60 t m12 m12 pb64b 4 vref1_4/bdq60 c r15 r15 cfg2 8 n14 n14 cfg1 8 n13 n13 programn 8 n15 n15 cfg0 8 p15 p15 pr44b 8 w riten c l12 l12 initn 8 n16 n16 pr43b 8 csn c gnd gnd gndio8 - r14 r14 cclk 8 p14 p14 pr44a 8 cs1n t m13 m13 done 8 r16 r16 pr42b 8 d1 c vccio vccio vccio8 8 m16 m16 pr43a 8 d0/spifastn t p16 p16 pr42a 8 d2 t l15 l15 pr41b 8 d3 c gnd gnd gndio8 - l14 l14 pr40a 8 d6 t l16 l16 pr41a 8 d4 t l10 l10 pr39b 8 d7 c l13 l13 pr40b 8 d5 c vccio vccio vccio8 8 k11 k11 pr39a 8 di/csspi0n t k14 k14 pr38b 8 dout/cson c k13 k13 pr38a 8 busy/sispi t gnd gnd gndio8 - k15 k15 pr31b 3 rlm0_gpllc_fb_a/rdq34 c vccio vccio vccio3 3 k16 k16 pr31a 3 rlm0_gpllt_fb_a/rdq34 t gnd gnd gndio3 - j16 j16 pr30b 3 rlm0_gpllc_in_a**/rdq34 c (lvds)* j15 j15 pr30a 3 rlm0_gpllt_in_a**/rdq34 t (lvds)* j14 j14 rlm0_pllcap 3 lfe2-20e/se logic signal connections: 256 fpbga (cont.) lfe2-20e/se ball number ball number ball/pad function bank dual function differential
4-43 pinout information lattice semiconductor latticeecp2/m family data sheet j13 j13 pr28b 3 rlm0_gdllc_fb_a/rdq25 c j12 j12 pr28a 3 rlm0_gdllt_fb_a/rdq25 t h12 h12 pr27b 3 rlm0_gdllc_in_a**/rdq25 c (lvds)* gnd gnd gndio3 - h13 h13 pr27a 3 rlm0_gdllt_in_a**/rdq25 t (lvds)* h15 h15 pr22b 3 vref2_3/rdq25 c vccio vccio vccio3 3 h16 h16 pr22a 3 vref1_3/rdq25 t h11 h11 pr21b 3 pclkc3_0/rdq25 c (lvds)* j11 j11 pr21a 3 pclkt3_0/rdq25 t (lvds)* g16 g16 pr19b 2 pclkc2_0/rdq16 c gnd gnd gndio2 - g15 g15 pr19a 2 pclkt2_0/rdq16 t f15 f15 pr17b 2 rdq16 c g11 g11 pr18b 2 rdq16 c (lvds)* f14 f14 pr17a 2 rdq16 t vccio vccio vccio2 2 f12 f12 pr18a 2 rdq16 t (lvds)* g14 g14 pr16b 2 rdq16 c (lvds)* g13 g13 pr16a 2 rdqs16 t (lvds)* gnd gnd gndio2 - f16 f16 pr14b 2 rdq16 c (lvds)* f9 f9 pr15b 2 rdq16 c e16 e16 pr14a 2 rdq16 t (lvds)* f10 f10 pr15a 2 rdq16 t vccio vccio vccio2 2 d16 d16 pr13b 2 rdq16 c d15 d15 pr13a 2 rdq16 t c15 c15 pr6b 2 rdq8 c (lvds)* c16 c16 pr7b 2 rdq8 c gnd gnd gndio2 - d14 d14 pr6a 2 rdq8 t (lvds)* b16 b16 pr7a 2 rdq8 t f13 f13 pr2b 2 vref2_2 c (lvds)* vccio vccio vccio2 2 e13 e13 pr2a 2 vref1_2 t (lvds)* f11 f11 pt64b 1 vref2_1 c e11 e11 pt64a 1 vref1_1 t gnd gnd gndio1 - a15 a15 pt63b 1 c e12 e12 pt62b 1 c b15 b15 pt63a 1 t lfe2-20e/se logic signal connections: 256 fpbga (cont.) lfe2-20e/se ball number ball number ball/pad function bank dual function differential
4-44 pinout information lattice semiconductor latticeecp2/m family data sheet vccio vccio vccio1 1 d12 d12 pt62a 1 t b14 b14 pt61b 1 c c14 c14 pt60b 1 c a14 a14 pt61a 1 t d13 d13 pt60a 1 t c13 c13 pt59b 1 c gnd gnd gndio1 - a13 a13 pt58b 1 c b13 b13 pt59a 1 t vccio vccio vccio1 1 a12 a12 pt58a 1 t b11 b11 pt57b 1 c d11 d11 pt56b 1 c a11 a11 pt57a 1 t c11 c11 pt56a 1 t - gnd gndio1 1 - vcc vccio 1 d10 d10 pt46b 1 c c10 c10 pt46a 1 t gnd gnd gndio1 - b10 b10 pt45b 1 c a9 a9 pt44b 1 c a10 a10 pt45a 1 t b9 b9 pt44a 1 t vccio vccio vccio1 1 a8 a8 pt43b 1 c d9 d9 pt42b 1 c b8 b8 pt43a 1 t c9 c9 pt42a 1 t gnd gnd gndio1 - b7 b7 pt41b 1 c e9 e9 pt40b 1 c a7 a7 pt41a 1 t d8 d8 pt40a 1 t vccio vccio vccio1 1 a6 a6 pt39b 1 pclkc1_0 c b6 b6 pt39a 1 pclkt1_0 t e6 e6 xres 1 f8 f8 pt37b 0 pclkc0_0 c gnd gnd gndio0 - e8 e8 pt37a 0 pclkt0_0 t lfe2-20e/se logic signal connections: 256 fpbga (cont.) lfe2-20e/se ball number ball number ball/pad function bank dual function differential
4-45 pinout information lattice semiconductor latticeecp2/m family data sheet a5 a5 pt36b 0 c a3 a3 pt35b 0 c a4 a4 pt36a 0 t vccio vccio vccio0 0 b3 b3 pt35a 0 t a2 a2 pt34b 0 c c7 c7 pt33b 0 c b2 b2 pt34a 0 t d7 d7 pt33a 0 t d6 d6 pt32b 0 c gnd gnd gndio0 - f7 f7 pt31b 0 c c6 c6 pt32a 0 t vccio vccio vccio0 0 f6 f6 pt31a 0 t c4 c4 pt30b 0 c b4 b4 pt30a 0 t - gnd gndio0 0 - vcc vccio 0 d5 d5 pt2b 0 vref2_0 c e5 e5 pt2a 0 vref1_0 t g7 g7 vcc - g9 g9 vcc - h7 h7 vcc - j10 j10 vcc - k10 k10 vcc - k8 k8 vcc - g8 g8 vccaux - h10 h10 vccaux - j7 j7 vccaux - k9 k9 vccaux - c5 c5 vccio0 0 e7 e7 vccio0 0 c12 c12 vccio1 1 e10 e10 vccio1 1 e14 e14 vccio2 2 g12 g12 vccio2 2 k12 k12 vccio3 3 m14 m14 vccio3 3 m10 m10 vccio4 4 p12 p12 vccio4 4 m7 m7 vccio5 5 lfe2-20e/se logic signal connections: 256 fpbga (cont.) lfe2-20e/se ball number ball number ball/pad function bank dual function differential
4-46 pinout information lattice semiconductor latticeecp2/m family data sheet p5 p5 vccio5 5 k5 k5 vccio6 6 m3 m3 vccio6 6 e3 e3 vccio7 7 g5 g5 vccio7 7 t15 t15 vccio8 8 a1 a1 gnd - a16 a16 gnd - b12 b12 gnd - b5 b5 gnd - c8 c8 gnd - e15 e15 gnd - e2 e2 gnd - h14 h14 gnd - h8 h8 gnd - h9 h9 gnd - j3 j3 gnd - j8 j8 gnd - j9 j9 gnd - m15 m15 gnd - m2 m2 gnd - p9 p9 gnd - r12 r12 gnd - r5 r5 gnd - t1 t1 gnd - t16 t16 gnd - * supports true lvds. other differential signals must be emulated with external resistors. ** these dedicated input pins can be used for gplls or gdlls within the respective quadrant. note: vccio and gnd pads are used to determine the average dc current drawn by i/os between gnd/vccio connections, or between t he last gnd/vccio in an i/o bank and the end of an i/o bank. the substrate pads listed in the pin table do not necessarily have a one to one connection with a package ball or pin. lfe2-20e/se logic signal connections: 256 fpbga (cont.) lfe2-20e/se ball number ball number ball/pad function bank dual function differential
4-47 pinout information lattice semiconductor latticeecp2/m family data sheet lfe2-12e/se and lfe2-20e/se logic signal connections: 484 fpbga lfe2-12e/12se lfe2-20e/20se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential e4 pl2a 7 vref2_7 t (lvds)* pl2a 7 vref2_7 t (lvds)* e5 pl2b 7 vref1_7 c (lvds)* pl2b 7 vref1_7 c (lvds)* - - - gndio7 - e3 nc - pl4a 7 ldq8 t (lvds)* f4 pl3a 7 t pl5a 7 ldq8 t f3 nc - pl4b 7 ldq8 c (lvds)* f5 pl3b 7 c pl5b 7 ldq8 c vccio vccio7 7 vccio7 7 e2 pl4a 7 t (lvds)* pl6a 7 ldq8 t (lvds)* g6 pl5a 7 t pl7a 7 ldq8 t e1 pl4b 7 c (lvds)* pl6b 7 ldq8 c (lvds)* g7 pl5b 7 c pl7b 7 ldq8 c gndio gndio7 - gndio7 - f1 nc - pl9a 7 ldq8 t h4 nc - pl8a 7 ldqs8 t (lvds)* f2 nc - pl9b 7 ldq8 c - - - vccio7 7 h5 nc - pl8b 7 ldq8 c (lvds)* g1 nc - pl11a 7 ldq8 t g3 nc - pl10a 7 ldq8 t (lvds)* g2 nc - pl11b 7 ldq8 c - - - gndio - g4 nc - pl10b 7 ldq8 c (lvds)* j4 pl7a 7 ldq10 t pl13a 7 ldq16 t h1 pl6a 7 ldq10 pl12a 7 ldq16 t (lvds)* j5 pl7b 7 ldq10 c pl13b 7 ldq16 c l6 pl9a 7 ldq10 t pl15a 7 ldq16 t vccio vccio7 7 vccio7 7 j2 pl8a 7 ldq10 t (lvds)* pl14a 7 ldq16 t (lvds)* l5 pl9b 7 ldq10 c pl15b 7 ldq16 c j1 pl8b 7 ldq10 c (lvds)* pl14b 7 ldq16 c (lvds)* k3 pl10a 7 ldqs10 t (lvds)* pl16a 7 ldqs16 t (lvds)* gndio gndio7 - gndio - k4 pl10b 7 ldq10 c (lvds)* pl16b 7 ldq16 c (lvds)* k2 pl11a 7 ldq10 t pl17a 7 ldq16 t vccio vccio7 7 vccio7 7 k1 pl11b 7 ldq10 c pl17b 7 ldq16 c l4 pl12a 7 ldq10 t (lvds)* pl18a 7 ldq16 t (lvds)* gndio gndio7 - gndio - l3 pl12b 7 ldq10 c (lvds)* pl18b 7 ldq16 c (lvds)* l2 pl13a 7 pclkt7_0/ldq10 t pl19a 7 pclkt7_0/ldq16 t l1 pl13b 7 pclkc7_0/ldq10 c pl19b 7 pclkc7_0/ldq16 c m5 pl15a 6 pclkt6_0 t (lvds)* pl21a 6 pclkt6_0/ldq25 t (lvds)* vccio vccio6 6 - - m6 pl15b 6 pclkc6_0 c (lvds)* pl21b 6 pclkc6_0/ldq25 c (lvds)*
4-48 pinout information lattice semiconductor latticeecp2/m family data sheet m3 pl16a 6 vref2_6 t pl22a 6 vref2_6/ldq25 t gndio gndio6 - - - m4 pl16b 6 vref1_6 c pl22b 6 vref1_6/ldq25 c - - - vccio6 6 n1 nc - pl24a 6 ldq25 t m2 nc - pl23a 6 ldq25 t (lvds)* n2 nc - pl24b 6 ldq25 c m1 nc - pl23b 6 ldq25 c (lvds)* - - - gndio - n3 nc - pl25a 6 ldqs25 t (lvds)* n5 nc - pl26a 6 ldq25 t n4 nc - pl25b 6 ldq25 c (lvds)* - - - vccio6 6 p5 nc - pl26b 6 ldq25 c p1 pl17a 6 llm0_gdllt_in_a** t (lvds)* pl27a 6 llm0_gdllt_in_a**/ldq25 t (lvds)* p2 pl17b 6 llm0_gdllc_in_a** c (lvds)* pl27b 6 llm0_gdllc_in_a**/ldq25 c (lvds)* p4 pl18a 6 llm0_gdllt_fb_a t pl28a 6 llm0_gdllt_fb_a/ldq25 t - - - gndio - r4 pl18b 6 llm0_gdllc_fb_a c pl28b 6 llm0_gdllc_fb_a/ldq25 c p6 llm0_pllcap 6 llm0_pllcap 6 r1 pl20a 6 llm0_gpllt_in_a** t (lvds)* pl30a 6 llm0_gpllt_in_a**/ldq34 t (lvds)* gndio gndio6 - - - r3 pl21a 6 llm0_gpllt_fb_a t pl31a 6 llm0_gpllt_fb_a/ldq34 t r2 pl20b 6 llm0_gpllc_in_a** c (lvds)* pl30b 6 llm0_gpllc_in_a/ldq34 c (lvds)* t4 pl21b 6 llm0_gpllc_fb_a c pl31b 6 llm0_gpllc_fb_a/ldq34 c t5 pl23a 6 t pl33a 6 ldq34 t vccio vccio6 6 vccio6 6 t1 pl22a 6 t (lvds)* pl32a 6 ldq34 t (lvds)* t3 pl23b 6 c pl33b 6 ldq34 c t2 pl22b 6 c (lvds)* pl32b 6 ldq34 c (lvds)* gndio gndio6 - gndio6 - - - - vccio6 6 v1 pl25a 6 ldq28 t pl39a 6 ldq42 t - - - gndio - v2 pl25b 6 ldq28 c pl39b 6 ldq42 c u1 pl24a 6 ldq28 t (lvds)* pl38a 6 ldq42 t (lvds)* u3 pl27a 6 ldq28 t pl41a 6 ldq42 t vccio vccio6 6 vccio6 6 u2 pl24b 6 ldq28 c (lvds)* pl38b 6 ldq42 c (lvds)* u4 pl27b 6 ldq28 c pl41b 6 ldq42 c r6 pl26a 6 ldq28 t (lvds)* pl40a 6 ldq42 t (lvds)* r7 pl29a 6 ldq28 t pl43a 6 ldq42 t gndio gndio6 - gndio - t7 pl29b 6 ldq28 c pl43b 6 ldq42 c t6 pl26b 6 ldq28 c (lvds)* pl40b 6 ldq42 c (lvds)* lfe2-12e/se and lfe2-20e/se logic signal connections: 484 fpbga lfe2-12e/12se lfe2-20e/20se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-49 pinout information lattice semiconductor latticeecp2/m family data sheet aa2 pl31a 6 ldq28 t pl45a 6 ldq42 t vccio vccio6 6 vccio6 6 y1 pl28a 6 ldqs28 t (lvds)* pl42a 6 ldqs42 t (lvds)* aa1 pl31b 6 ldq28 c pl45b 6 ldq42 c w 1 pl28b 6 ldq28 c (lvds)* pl42b 6 ldq42 c (lvds)* v3 pl30b 6 ldq28 c (lvds)* pl44b 6 ldq42 c (lvds)* gndio gndio6 - gndio - v4 pl30a 6 ldq28 t (lvds)* pl44a 6 ldq42 t (lvds)* u5 tdi - tdi - u7 tck - tck - v6 tdo - tdo - v5 tms - tms - t8 vccj - vccj - w 4 pb3a 5 bdq6 t pb3a 5 bdq6 t y3 pb2a 5 vref2_5/bdq6 t pb2a 5 vref2_5/bdq6 t w 3 pb3b 5 bdq6 c pb3b 5 bdq6 c y2 pb2b 5 vref1_5/bdq6 c pb2b 5 vref1_5/bdq6 c ab3 pb5a 5 bdq6 t pb5a 5 bdq6 t vccio vccio5 5 vccio5 5 w 5 pb4a 5 bdq6 t pb4a 5 bdq6 t ab2 pb5b 5 bdq6 c pb5b 5 bdq6 c w 6 pb4b 5 bdq6 c pb4b 5 bdq6 c ab5 pb7a 5 bdq6 t pb7a 5 bdq6 t gndio gndio5 - gndio - y4 pb6a 5 bdqs6 t pb6a 5 bdqs6 t ab4 pb7b 5 bdq6 c pb7b 5 bdq6 c aa3 pb6b 5 bdq6 c pb6b 5 bdq6 c ab6 pb9a 5 bdq6 t pb9a 5 bdq6 t vccio vccio5 5 vccio5 5 aa5 pb8a 5 bdq6 t pb8a 5 bdq6 t aa6 pb9b 5 bdq6 c pb9b 5 bdq6 c y5 pb8b 5 bdq6 c pb8b 5 bdq6 c gndio gndio5 - gndio - - - - vccio5 5 y6 pb12a 5 bdq15 t pb21a 5 bdq24 t w 7 pb11a 5 bdq15 t pb20a 5 bdq24 t y7 pb12b 5 bdq15 c pb21b 5 bdq24 c w 8 pb11b 5 bdq15 c pb20b 5 bdq24 c u8 pb14a 5 bdq15 t pb23a 5 bdq24 t vccio vccio5 5 vccio5 5 aa7 pb13a 5 bdq15 t pb22a 5 bdq24 t u9 pb14b 5 bdq15 c pb23b 5 bdq24 c ab7 pb13b 5 bdq15 c pb22b 5 bdq24 c y8 pb16a 5 bdq15 t pb25a 5 bdq24 t gndio gndio5 - gndio - lfe2-12e/se and lfe2-20e/se logic signal connections: 484 fpbga lfe2-12e/12se lfe2-20e/20se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-50 pinout information lattice semiconductor latticeecp2/m family data sheet w 9 pb15a 5 bdqs15 t pb24a 5 bdqs24 t aa8 pb16b 5 bdq15 c pb25b 5 bdq24 c v9 pb15b 5 bdq15 c pb24b 5 bdq24 c ab8 pb18a 5 bdq15 t pb27a 5 bdq24 t vccio vccio5 5 vccio5 5 w 10 pb17a 5 bdq15 t pb26a 5 bdq24 t aa9 pb18b 5 bdq15 c pb27b 5 bdq24 c v10 pb17b 5 bdq15 c pb26b 5 bdq24 c gndio gndio5 - gndio - y10 pb21a 5 bdq24 t pb30a 5 bdq33 t ab9 pb20a 5 bdq24 t pb29a 5 bdq33 t aa10 pb21b 5 bdq24 c pb30b 5 bdq33 c ab10 pb20b 5 bdq24 c pb29b 5 bdq33 c ab11 pb23a 5 bdq24 t pb32a 5 bdq33 t u10 pb22a 5 bdq24 t pb31a 5 bdq33 t vccio vccio5 5 vccio5 5 aa11 pb23b 5 bdq24 c pb32b 5 bdq33 c u11 pb22b 5 bdq24 c pb31b 5 bdq33 c gndio gndio5 - gndio5 - ab12 pb25a 5 bdq24 t pb34a 5 bdq33 t y11 pb24a 5 bdqs24 t pb33a 5 bdqs33 t aa12 pb25b 5 bdq24 c pb34b 5 bdq33 c w 11 pb24b 5 bdq24 c pb33b 5 bdq33 c ab13 pb26a 5 pclkt5_0/bdq24 t pb35a 5 pclkt5_0/bdq33 t vccio vccio5 5 vccio5 5 ab14 pb26b 5 pclkc5_0/bdq24 c pb35b 5 pclkc5_0/bdq33 c gndio gndio5 - gndio5 - y12 pb32a 4 bdq33 t pb41a 4 bdq42 t w 12 pb32b 4 bdq33 c pb41b 4 bdq42 c vccio vccio4 4 vccio4 4 u12 pb31a 4 pclkt4_0/bdq33 t pb40a 4 pclkt4_0/bdq42 t v12 pb31b 4 pclkc4_0/bdq33 c pb40b 4 pclkc4_0/bdq42 c u13 pb34a 4 bdq33 t pb43a 4 bdq42 t gndio gndio4 - gndio4 - aa13 pb33a 4 bdqs33 t pb42a 4 bdqs42 t u14 pb34b 4 bdq33 c pb43b 4 bdq42 c y13 pb33b 4 bdq33 c pb42b 4 bdq42 c ab16 pb36a 4 bdq33 t pb45a 4 bdq42 t vccio vccio4 4 vccio4 4 ab15 pb35a 4 bdq33 t pb44a 4 bdq42 t ab17 pb36b 4 bdq33 c pb45b 4 bdq42 c aa14 pb35b 4 bdq33 c pb44b 4 bdq42 c w 13 pb37a 4 bdq33 t pb46a 4 bdq42 t gndio gndio4 - gndio4 - w 14 pb37b 4 bdq33 c pb46b 4 bdq42 c lfe2-12e/se and lfe2-20e/se logic signal connections: 484 fpbga lfe2-12e/12se lfe2-20e/20se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-51 pinout information lattice semiconductor latticeecp2/m family data sheet ab18 pb39a 4 bdq42 t pb48a 4 bdq51 t ab19 pb39b 4 bdq42 c pb48b 4 bdq51 c y15 pb41a 4 bdq42 t pb50a 4 bdq51 t v14 pb40a 4 bdq42 t pb49a 4 bdq51 t vccio vccio4 4 vccio4 4 aa15 pb41b 4 bdq42 c pb50b 4 bdq51 c w 15 pb40b 4 bdq42 c pb49b 4 bdq51 c gndio gndio4 - gndio - ab20 pb43a 4 bdq42 t pb52a 4 bdq51 t aa16 pb42a 4 bdqs42 t pb51a 4 bdqs51 t ab21 pb43b 4 bdq42 c pb52b 4 bdq51 c aa17 pb42b 4 bdq42 c pb51b 4 bdq51 c y16 pb45a 4 bdq42 t pb54a 4 bdq51 t u15 pb44a 4 bdq42 t pb53a 4 bdq51 t vccio vccio4 4 vccio4 4 w 16 pb45b 4 bdq42 c pb54b 4 bdq51 c u16 pb44b 4 bdq42 c pb53b 4 bdq51 c aa18 pb46a 4 bdq42 t pb55a 4 bdq51 t aa20 pb46b 4 bdq42 c pb55b 4 bdq51 c gndio gndio4 - gndio - v16 pb49a 4 bdq51 t pb58a 4 bdq60 t v17 pb49b 4 bdq51 c pb58b 4 bdq60 c aa21 pb48a 4 bdq51 t pb57a 4 bdq60 t vccio vccio4 4 vccio4 4 y19 pb51a 4 bdqs51 t pb60a 4 bdqs60 t aa22 pb48b 4 bdq51 c pb57b 4 bdq60 c y20 pb51b 4 bdq51 c pb60b 4 bdq60 c y18 pb50a 4 bdq51 t pb59a 4 bdq60 t gndio gndio4 - gndio4 - y21 pb53a 4 bdq51 t pb62a 4 bdq60 t y17 pb50b 4 bdq51 c pb59b 4 bdq60 c y22 pb53b 4 bdq51 c pb62b 4 bdq60 c w 17 pb52a 4 bdq51 t pb61a 4 bdq60 t vccio vccio4 4 vccio4 4 u18 pb54a 4 bdq51 t pb63a 4 bdq60 t w 18 pb52b 4 bdq51 c pb61b 4 bdq60 c v18 pb54b 4 bdq51 c pb63b 4 bdq60 c gndio gndio4 - gndio4 - t15 pb55a 4 vref2_4/bdq51 t pb64a 4 vref2_4/bdq60 t t16 pb55b 4 vref1_4/bdq51 c pb64b 4 vref1_4/bdq60 c w 19 cfg2 8 cfg2 8 v19 cfg1 8 cfg1 8 v20 programn 8 programn 8 w 20 cfg0 8 cfg0 8 u22 pr28b 8 d1/spid6 c pr42b 8 d1/spid6 c lfe2-12e/se and lfe2-20e/se logic signal connections: 484 fpbga lfe2-12e/12se lfe2-20e/20se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-52 pinout information lattice semiconductor latticeecp2/m family data sheet v22 initn 8 initn 8 r16 pr30b 8 w riten c pr44b 8 w riten c gndio gndio8 - gndio8 - w 22 cclk 8 cclk 8 r17 pr30a 8 cs1n t pr44a 8 cs1n t v21 done 8 done 8 vccio vccio8 8 vccio8 8 u19 pr29b 8 csn c pr43b 8 csn c t17 pr26b 8 d5 c pr40b 8 d5 c u20 pr29a 8 d0/spifastn t pr43a 8 d0/spifastn t u21 pr28a 8 d2 t pr42a 8 d2 t gndio gndio8 - gndio8 - t18 pr26a 8 d6 t pr40a 8 d6 t t20 pr27b 8 d3 c pr41b 8 d3 c t21 pr25b 8 d7 c pr39b 8 d7 c t19 pr27a 8 d4 t pr41a 8 d4 t vccio vccio8 8 vccio8 8 t22 pr25a 8 di/csspi0n t pr39a 8 di/csspi0n t r18 pr24b 8 dout/cson c pr38b 8 dout/cson c r19 pr24a 8 busy/sispi t pr38a 8 busy/sispi t - - - vccio3 3 gndio gndio3 - gndio3 - p18 pr22b 3 c (lvds)* pr32b 3 rdq34 c (lvds)* r22 pr23b 3 c pr33b 3 rdq34 c p19 pr22a 3 t (lvds)* pr32a 3 rdq34 t (lvds)* r21 pr23a 3 t pr33a 3 rdq34 t vccio vccio3 3 vccio3 3 r20 pr21b 3 rlm0_gpllc_fb_a c pr31b 3 rlm0_gpllc_fb_a/rdq34 c p22 pr21a 3 rlm0_gpllt_fb_a t pr31a 3 rlm0_gpllt_fb_a/rdq34 t p21 pr20b 3 rlm0_gpllc_in_a** c (lvds)* pr30b 3 rlm0_gpllc_in_a**/rdq34 c (lvds)* n21 pr20a 3 rlm0_gpllt_in_a** t (lvds)* pr30a 3 rlm0_gpllt_in_a**/rdq34 t (lvds)* n17 rlm0_pllcap 3 rlm0_pllcap 3 n22 pr18b 3 rlm0_gdllc_fb_a c pr28b 3 rlm0_gdllc_fb_a/rdq25 c m22 pr17b 3 rlm0_gdllc_in_a** c (lvds)* pr27b 3 rlm0_gdllc_in_a**/rdq25 c (lvds)* gndio gndio3 - gndio3 - n20 pr18a 3 rlm0_gdllt_fb_a t pr28a 3 rlm0_gdllt_fb_a/rdq25 t m21 pr17a 3 rlm0_gdllt_in_a** t (lvds)* pr27a 3 rlm0_gdllt_in_a**/rdq25 t (lvds)* n19 nc - pr26b 3 rdq25 c - - - vccio3 3 m19 nc - pr26a 3 rdq25 t j22 nc - pr23b 3 rdq25 c (lvds)* - - - gndio - l22 nc - pr24b 3 rdq25 c h22 nc - pr23a 3 rdq25 t (lvds)* k22 nc - pr24a 3 rdq25 t lfe2-12e/se and lfe2-20e/se logic signal connections: 484 fpbga lfe2-12e/12se lfe2-20e/20se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-53 pinout information lattice semiconductor latticeecp2/m family data sheet m20 pr16b 3 vref2_3 c pr22b 3 vref2_3/rdq25 c vccio vccio3 3 vccio3 3 l21 pr16a 3 vref1_3 t pr22a 3 vref1_3/rdq25 t k21 pr15b 3 pclkc3_0 c (lvds)* pr21b 3 pclkc3_0/rdq25 c (lvds)* j21 pr15a 3 pclkt3_0 t (lvds)* pr21a 3 pclkt3_0/rdq25 t (lvds)* m18 pr13b 2 pclkc2_0/rdq10 c pr19b 2 pclkc2_0/rdq16 c gndio gndio2 - gndio2 - l17 pr13a 2 pclkt2_0/rdq10 t pr19a 2 pclkt2_0/rdq16 t l19 pr12b 2 rdq10 c (lvds)* pr18b 2 rdq16 c (lvds)* k18 pr10b 2 rdq10 c (lvds)* pr16b 2 rdq16 c (lvds)* l20 pr12a 2 rdq10 t (lvds)* pr18a 2 rdq16 t (lvds)* vccio vccio2 2 vccio2 2 k19 pr10a 2 rdqs10 t (lvds)* pr16a 2 rdqs16 t (lvds)* l18 pr11b 2 rdq10 c pr17b 2 rdq16 c k17 pr11a 2 rdq10 t pr17a 2 rdq16 t gndio gndio2 - gndio2 - j17 pr8b 2 rdq10 c (lvds)* pr14b 2 rdq16 c (lvds)* g22 pr9b 2 rdq10 c pr15b 2 rdq16 c j18 pr8a 2 rdq10 t (lvds)* pr14a 2 rdq16 t (lvds)* f22 pr9a 2 rdq10 t pr15a 2 rdq16 t vccio vccio2 2 vccio2 2 h21 pr6b 2 rdq10 c (lvds)* pr12b 2 rdq16 c (lvds)* k20 pr7b 2 rdq10 c pr13b 2 rdq16 c g21 pr6a 2 rdq10 t (lvds)* pr12a 2 rdq16 t (lvds)* j19 pr7a 2 rdq10 t pr13a 2 rdq16 t d22 nc - pr10b 2 rdq8 c (lvds)* f21 nc - pr11b 2 rdq8 c - - - gndio - e21 nc - pr10a 2 rdq8 t (lvds)* e22 nc - pr11a 2 rdq8 t h19 nc - pr8b 2 rdq8 c (lvds)* g20 nc - pr9b 2 rdq8 c - - - vccio2 2 g19 nc - pr8a 2 rdqs8 t (lvds)* f20 nc - pr9a 2 rdq8 t g17 pr5b 2 c pr7b 2 rdq8 c gndio gndio2 - gndio2 - e20 pr4b 2 c (lvds)* pr6b 2 rdq8 c (lvds)* f19 pr5a 2 t pr7a 2 rdq8 t d20 pr4a 2 t (lvds)* pr6a 2 rdq8 t (lvds)* f18 pr3b 2 c pr5b 2 rdq8 c vccio vccio2 2 vccio2 2 c21 nc - pr4b 2 rdq8 c (lvds)* f16 pr3a 2 t pr5a 2 rdq8 t c22 nc - pr4a 2 rdq8 t (lvds)* lfe2-12e/se and lfe2-20e/se logic signal connections: 484 fpbga lfe2-12e/12se lfe2-20e/20se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-54 pinout information lattice semiconductor latticeecp2/m family data sheet - - - gndio - d19 pr2b 2 vref2_2 c (lvds)* pr2b 2 vref2_2 c (lvds)* e19 pr2a 2 vref1_2 t (lvds)* pr2a 2 vref1_2 t (lvds)* b21 pt55b 1 vref2_1 c pt64b 1 vref2_1 c b22 pt55a 1 vref1_1 t pt64a 1 vref1_1 t gndio gndio1 - gndio1 - d18 pt53b 1 c pt62b 1 c c20 pt54b 1 c pt63b 1 c e18 pt53a 1 t pt62a 1 t c19 pt54a 1 t pt63a 1 t vccio vccio1 1 vccio1 1 d17 pt51b 1 c pt60b 1 c b20 pt52b 1 c pt61b 1 c c18 pt51a 1 t pt60a 1 t a19 pt52a 1 t pt61a 1 t gndio gndio1 - gndio1 - a18 pt49b 1 c pt58b 1 c a21 pt50b 1 c pt59b 1 c b18 pt49a 1 t pt58a 1 t a20 pt50a 1 t pt59a 1 t vccio vccio1 1 vccio1 1 d16 pt47b 1 c pt56b 1 c g16 pt48b 1 c pt57b 1 c e16 pt47a 1 t pt56a 1 t g15 pt48a 1 t pt57a 1 t c17 pt46b 1 c pt55b 1 c gndio gndio1 - gndio1 - c16 pt46a 1 t pt55a 1 t a17 pt44b 1 c pt53b 1 c b17 pt45b 1 c pt54b 1 c a16 pt44a 1 t pt53a 1 t vccio vccio1 1 vccio1 1 b16 pt45a 1 t pt54a 1 t e15 pt42b 1 c pt51b 1 c c15 pt43b 1 c pt52b 1 c f15 pt42a 1 t pt51a 1 t d15 pt43a 1 t pt52a 1 t b15 pt40b 1 c pt49b 1 c gndio gndio1 - gndio1 - a15 pt40a 1 t pt49a 1 t vccio vccio1 1 vccio1 1 a14 pt39a 1 t pt48a 1 t b14 pt39b 1 c pt48b 1 c d14 pt37b 1 c pt46b 1 c e14 pt36b 1 c pt45b 1 c lfe2-12e/se and lfe2-20e/se logic signal connections: 484 fpbga lfe2-12e/12se lfe2-20e/20se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-55 pinout information lattice semiconductor latticeecp2/m family data sheet gndio gndio1 - gndio1 - c13 pt37a 1 t pt46a 1 t f14 pt36a 1 t pt45a 1 t a13 pt35b 1 c pt44b 1 c e13 pt34b 1 c pt43b 1 c vccio vccio1 1 vccio1 1 b13 pt35a 1 t pt44a 1 t d13 pt34a 1 t pt43a 1 t e12 pt33b 1 c pt42b 1 c gndio gndio1 - gndio1 - d12 pt33a 1 t pt42a 1 t a12 pt31b 1 c pt40b 1 c b12 pt30b 1 pclkc1_0 c pt39b 1 pclkc1_0 c vccio vccio1 1 vccio1 1 a11 pt31a 1 t pt40a 1 t c12 pt30a 1 pclkt1_0 t pt39a 1 pclkt1_0 t f12 xres 1 xres 1 b10 pt28b 0 pclkc0_0 c pt37b 0 pclkc0_0 c gndio gndio0 - gndio0 - b11 pt28a 0 pclkt0_0 t pt37a 0 pclkt0_0 t c11 pt26b 0 c pt35b 0 c a10 pt27b 0 c pt36b 0 c c10 pt26a 0 t pt35a 0 t vccio vccio0 0 vccio0 0 a9 pt27a 0 t pt36a 0 t a8 pt24b 0 c pt33b 0 c e11 pt25b 0 c pt34b 0 c a7 pt24a 0 t pt33a 0 t f11 pt25a 0 t pt34a 0 t gndio gndio0 - gndio0 - b8 pt23b 0 c pt32b 0 c vccio vccio0 0 vccio0 0 b9 pt23a 0 t pt32a 0 t c8 pt20b 0 c pt29b 0 c b7 pt21b 0 c pt30b 0 c d8 pt20a 0 t pt29a 0 t a6 pt21a 0 t pt30a 0 t gndio gndio0 - gndio0 - c7 pt17b 0 c pt26b 0 c d10 pt18b 0 c pt27b 0 c c6 pt17a 0 t pt26a 0 t e10 pt18a 0 t pt27a 0 t vccio vccio0 0 vccio0 0 f10 pt15b 0 c pt24b 0 c b6 pt16b 0 c pt25b 0 c lfe2-12e/se and lfe2-20e/se logic signal connections: 484 fpbga lfe2-12e/12se lfe2-20e/20se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-56 pinout information lattice semiconductor latticeecp2/m family data sheet d9 pt15a 0 t pt24a 0 t b5 pt16a 0 t pt25a 0 t gndio gndio0 - gndio0 - a5 pt13b 0 c pt22b 0 c f9 pt14b 0 c pt23b 0 c a4 pt13a 0 t pt22a 0 t e9 pt14a 0 t pt23a 0 t vccio vccio0 0 vccio0 0 g8 pt11b 0 c pt20b 0 c a3 pt12b 0 c pt21b 0 c e8 pt11a 0 t pt20a 0 t a2 pt12a 0 t pt21a 0 t gndio gndio0 - gndio0 - - - - vccio0 0 c3 pt10b 0 c pt10b 0 c b3 pt10a 0 t pt10a 0 t - - - gndio0 - e7 pt8b 0 c pt8b 0 c f8 pt9b 0 c pt9b 0 c f7 pt8a 0 t pt8a 0 t d7 pt9a 0 t pt9a 0 t vccio vccio0 0 vccio0 0 d4 pt6b 0 c pt6b 0 c d5 pt7b 0 c pt7b 0 c c4 pt6a 0 t pt6a 0 t d6 pt7a 0 t pt7a 0 t gndio gndio0 - gndio - j7 pt4b 0 c pt4b 0 c b2 pt5b 0 c pt5b 0 c h7 pt4a 0 t pt4a 0 t b1 pt5a 0 t pt5a 0 t vccio vccio0 0 vccio0 0 d1 pt2b 0 vref2_0 c pt2b 0 vref2_0 c d3 pt3b 0 c pt3b 0 c c1 pt2a 0 vref1_0 t pt2a 0 vref1_0 t c2 pt3a 0 t pt3a 0 t j10 vcc - vcc - j11 vcc - vcc - j12 vcc - vcc - j13 vcc - vcc - k14 vcc - vcc - k9 vcc - vcc - l14 vcc - vcc - l9 vcc - vcc - m14 vcc - vcc - lfe2-12e/se and lfe2-20e/se logic signal connections: 484 fpbga lfe2-12e/12se lfe2-20e/20se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-57 pinout information lattice semiconductor latticeecp2/m family data sheet m9 vcc - vcc - n14 vcc - vcc - n9 vcc - vcc - p10 vcc - vcc - p11 vcc - vcc - p12 vcc - vcc - p13 vcc - vcc - g10 vccio0 0 vccio0 0 g9 vccio0 0 vccio0 0 h9 vccio0 0 vccio0 0 h8 vccio0 0 vccio0 0 g11 vccio1 1 vccio1 1 g12 vccio1 1 vccio1 1 g13 vccio1 1 vccio1 1 g14 vccio1 1 vccio1 1 h14 vccio2 2 vccio2 2 h15 vccio2 2 vccio2 2 j15 vccio2 2 vccio2 2 k16 vccio2 2 vccio2 2 l16 vccio3 3 vccio3 3 m16 vccio3 3 vccio3 3 n16 vccio3 3 vccio3 3 p16 vccio3 3 vccio3 3 r14 vccio4 4 vccio4 4 t12 vccio4 4 vccio4 4 t13 vccio4 4 vccio4 4 t14 vccio4 4 vccio4 4 r9 vccio5 5 vccio5 5 t10 vccio5 5 vccio5 5 t11 vccio5 5 vccio5 5 t9 vccio5 5 vccio5 5 n7 vccio6 6 vccio6 6 p7 vccio6 6 vccio6 6 p8 vccio6 6 vccio6 6 r8 vccio6 6 vccio6 6 j8 vccio7 7 vccio7 7 k7 vccio7 7 vccio7 7 l7 vccio7 7 vccio7 7 m7 vccio7 7 vccio7 7 p15 vccio8 8 vccio8 8 r15 vccio8 8 vccio8 8 c5 vccaux - vccaux - d11 vccaux - vccaux - e17 vccaux - vccaux - e6 vccaux - vccaux - lfe2-12e/se and lfe2-20e/se logic signal connections: 484 fpbga lfe2-12e/12se lfe2-20e/20se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-58 pinout information lattice semiconductor latticeecp2/m family data sheet f13 vccaux - vccaux - g18 vccaux - vccaux - g5 vccaux - vccaux - k5 vccaux - vccaux - m17 vccaux - vccaux - p17 vccaux - vccaux - r5 vccaux - vccaux - v11 vccaux - vccaux - v13 vccaux - vccaux - v15 vccaux - vccaux - v7 vccaux - vccaux - v8 vccaux - vccaux - a1 gnd - gnd - a22 gnd - gnd - aa19 gnd - gnd - aa4 gnd - gnd - ab1 gnd - gnd - ab22 gnd - gnd - b19 gnd - gnd - b4 gnd - gnd - c14 gnd - gnd - c9 gnd - gnd - d2 gnd - gnd - d21 gnd - gnd - f17 gnd - gnd - f6 gnd - gnd - h10 gnd - gnd - h11 gnd - gnd - h12 gnd - gnd - h13 gnd - gnd - j14 gnd - gnd - j20 gnd - gnd - j3 gnd - gnd - j9 gnd - gnd - k10 gnd - gnd - k11 gnd - gnd - k12 gnd - gnd - k13 gnd - gnd - k15 gnd - gnd - k8 gnd - gnd - l10 gnd - gnd - l11 gnd - gnd - l12 gnd - gnd - l13 gnd - gnd - l15 gnd - gnd - lfe2-12e/se and lfe2-20e/se logic signal connections: 484 fpbga lfe2-12e/12se lfe2-20e/20se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-59 pinout information lattice semiconductor latticeecp2/m family data sheet l8 gnd - gnd - m10 gnd - gnd - m11 gnd - gnd - m12 gnd - gnd - m13 gnd - gnd - m15 gnd - gnd - m8 gnd - gnd - n10 gnd - gnd - n11 gnd - gnd - n12 gnd - gnd - n13 gnd - gnd - n15 gnd - gnd - n8 gnd - gnd - p14 gnd - gnd - p20 gnd - gnd - p3 gnd - gnd - p9 gnd - gnd - r10 gnd - gnd - r11 gnd - gnd - r12 gnd - gnd - r13 gnd - gnd - u17 gnd - gnd - u6 gnd - gnd - w 2 gnd - gnd - w 21 gnd - gnd - y14 gnd - gnd - y9 gnd - gnd - h6 nc - nc - j6 nc - nc - h3 nc - nc - h2 nc - nc - h17 nc - nc - h16 nc - nc - h20 nc - nc - h18 nc - nc - k6 nc - nc - j16 nc - nc - n18 vcc - vcc - n6 vcc - vcc - * supports true lvds. other differential signals must be emulated with external resistors. ** these dedicated input pins can be used for gplls or gdlls within the respective quadrant. note: vccio and gnd pads are used to determine the average dc current drawn by i/os between gnd/vccio connections, or between t he last gnd/vccio in an i/o bank and the end of an i/o bank. the substrate pads listed in the pin table do not necessarily have a one to one connection with a package ball or pin. lfe2-12e/se and lfe2-20e/se logic signal connections: 484 fpbga lfe2-12e/12se lfe2-20e/20se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-60 pinout information lattice semiconductor latticeecp2/m family data sheet lfe2-35e/se and lfe2-50e/se logic signal connections: 484 fpbga lfe2-35e/se lfe2-50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential e4 pl2a 7 vref2_7/ldq6 t (lvds)* pl2a 7 vref2_7 t (lvds)* e5 pl2b 7 vref1_7/ldq6 c (lvds)* pl2b 7 vref1_7 c (lvds)* vccio vccio7 - gndio7 - gndio gndio7 - vccio 7 e3 pl10a 7 ldq14 t (lvds)* pl12a 7 ldq16 t (lvds)* f3 pl10b 7 ldq14 c (lvds)* pl12b 7 ldq16 c (lvds)* f4 pl11a 7 ldq14 t pl13a 7 ldq16 t f5 pl11b 7 ldq14 c pl13b 7 ldq16 c e2 pl12a 7 ldq14 t (lvds)* pl14a 7 ldq16 t (lvds)* vccio vccio7 7 vccio 7 e1 pl12b 7 ldq14 c (lvds)* pl14b 7 ldq16 c (lvds)* g6 pl13a 7 ldq14 t pl15a 7 ldq16 t g7 pl13b 7 ldq14 c pl15b 7 ldq16 c h4 pl14a 7 ldqs14 t (lvds)* pl16a 7 ldqs16 t (lvds)* gndio gndio7 - gndio7 - h5 pl14b 7 ldq14 c (lvds)* pl16b 7 ldq16 c (lvds)* f1 pl15a 7 ldq14 t pl17a 7 ldq16 t f2 pl15b 7 ldq14 c pl17b 7 ldq16 c vccio vccio7 7 vccio 7 g3 pl16a 7 ldq14 t (lvds)* pl18a 7 ldq16 t (lvds)* g4 pl16b 7 ldq14 c (lvds)* pl18b 7 ldq16 c (lvds)* g1 pl17a 7 ldq14 t pl19a 7 ldq16 t g2 pl17b 7 ldq14 c pl19b 7 ldq16 c gndio gndio7 - gndio7 - - - - vccio 7 h6 nc - pl25a 7 lum0_spllt_in_a/ldq24 t - - - vccio 7 j6 nc - pl25b 7 lum0_spllc_in_a/ldq24 c h3 nc - pl26a 7 lum0_spllt_fb_a/ldq24 t h2 nc - pl26b 7 lum0_spllc_fb_a/ldq24 c - - - gndio7 - - - - vccio 7 h1 pl18a 7 ldq22 pl37a 7 ldq41 j4 pl19a 7 ldq22 t pl38a 7 ldq41 t j5 pl19b 7 ldq22 c pl38b 7 ldq41 c vccio vccio7 7 vccio 7 j2 pl20a 7 ldq22 t (lvds)* pl39a 7 ldq41 t (lvds)* j1 pl20b 7 ldq22 c (lvds)* pl39b 7 ldq41 c (lvds)* l6 pl21a 7 ldq22 t pl40a 7 ldq41 t l5 pl21b 7 ldq22 c pl40b 7 ldq41 c gndio gndio7 - gndio7 - k3 pl22a 7 ldqs22 t (lvds)* pl41a 7 ldqs41 t (lvds)* k4 pl22b 7 ldq22 c (lvds)* pl41b 7 ldq41 c (lvds)* k2 pl23a 7 ldq22 t pl42a 7 ldq41 t vccio vccio7 7 vccio 7 k1 pl23b 7 ldq22 c pl42b 7 ldq41 c l4 pl24a 7 ldq22 t (lvds)* pl43a 7 ldq41 t (lvds)*
4-61 pinout information lattice semiconductor latticeecp2/m family data sheet l3 pl24b 7 ldq22 c (lvds)* pl43b 7 ldq41 c (lvds)* l2 pl25a 7 pclkt7_0/ldq22 t pl44a 7 pclkt7_0/ldq41 t gndio gndio7 - gndio7 - l1 pl25b 7 pclkc7_0/ldq22 c pl44b 7 pclkc7_0/ldq41 c m5 pl27a 6 pclkt6_0/ldq31 t (lvds)* pl46a 6 pclkt6_0/ldq50 t (lvds)* m6 pl27b 6 pclkc6_0/ldq31 c (lvds)* pl46b 6 pclkc6_0/ldq50 c (lvds)* m3 pl28a 6 vref2_6/ldq31 t pl47a 6 vref2_6/ldq50 t m4 pl28b 6 vref1_6/ldq31 c pl47b 6 vref1_6/ldq50 c m2 pl29a 6 ldq31 t (lvds)* pl48a 6 ldq50 t (lvds)* vccio vccio6 6 vccio 6 m1 pl29b 6 ldq31 c (lvds)* pl48b 6 ldq50 c (lvds)* n1 pl30a 6 ldq31 t pl49a 6 ldq50 t n2 pl30b 6 ldq31 c pl49b 6 ldq50 c gndio gndio6 - gndio6 - vccio vccio6 6 vccio 6 n3 pl39a 6 ldqs39*** t (lvds)* pl58a 6 ldqs58*** t (lvds)* n4 pl39b 6 ldq39 c (lvds)* pl58b 6 ldq58 c (lvds)* n5 pl40a 6 ldq39 t pl59a 6 ldq58 t vccio vccio6 6 vccio 6 p5 pl40b 6 ldq39 c pl59b 6 ldq58 c p1 pl41a 6 llm0_gdllt_in_a**/ldq39 t (lvds)* pl60a 6 llm0_gdllt_in_a**/ldq58 t (lvds)* p2 pl41b 6 llm0_gdllc_in_a**/ldq39 c (lvds)* pl60b 6 llm0_gdllc_in_a**/ldq58 c (lvds)* p4 pl42a 6 llm0_gdllt_fb_a/ldq39 t pl61a 6 llm0_gdllt_fb_a/ldq58 t gndio gndio6 - gndio6 - r4 pl42b 6 llm0_gdllc_fb_a/ldq39 c pl61b 6 llm0_gdllc_fb_d/ldq58 c p6 llm0_pllcap 6 llm0_pllcap 6 r1 pl44a 6 llm0_gpllt_in_a**/ldq48 t (lvds)* pl63a 6 llm0_gpllt_in_a**/ldq67 t (lvds)* r2 pl44b 6 llm0_gpllc_in_a**/ldq48 c (lvds)* pl63b 6 llm0_gpllc_in_a**/ldq67 c (lvds)* r3 pl45a 6 llm0_gpllt_fb_a/ldq48 t pl64a 6 llm0_gpllt_fb_a/ldq67 t t4 pl45b 6 llm0_gpllc_fb_a/ldq48 c pl64b 6 llm0_gpllc_fb_a/ldq67 c t1 pl46a 6 ldq48 t (lvds)* pl65a 6 ldq67 t (lvds)* vccio vccio6 6 vccio 6 t2 pl46b 6 ldq48 c (lvds)* pl65b 6 ldq67 c (lvds)* t5 pl47a 6 ldq48 t pl66a 6 ldq67 t t3 pl47b 6 ldq48 c pl66b 6 ldq67 c gndio gndio6 - vccio 6 vccio vccio6 - gndio6 - u1 pl52a 6 ldq56 t (lvds)* pl71a 6 ldq75 t (lvds)* u2 pl52b 6 ldq56 c (lvds)* pl71b 6 ldq75 c (lvds)* v1 pl53a 6 ldq56 t pl72a 6 ldq75 t v2 pl53b 6 ldq56 c pl72b 6 ldq75 c vccio vccio6 6 vccio 6 r6 pl54a 6 ldq56 t (lvds)* pl73a 6 ldq75 t (lvds)* t6 pl54b 6 ldq56 c (lvds)* pl73b 6 ldq75 c (lvds)* u3 pl55a 6 ldq56 t pl74a 6 ldq75 t u4 pl55b 6 ldq56 c pl74b 6 ldq75 c gndio gndio6 - gndio6 - lfe2-35e/se and lfe2-50e/se logic signal connections: 484 fpbga lfe2-35e/se lfe2-50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-62 pinout information lattice semiconductor latticeecp2/m family data sheet y1 pl56a 6 ldqs56 t (lvds)* pl75a 6 ldqs75 t (lvds)* w 1 pl56b 6 ldq56 c (lvds)* pl75b 6 ldq75 c (lvds)* r7 pl57a 6 ldq56 t pl76a 6 ldq75 t vccio vccio6 6 vccio 6 t7 pl57b 6 ldq56 c pl76b 6 ldq75 c v4 pl58a 6 ldq56 t (lvds)* pl77a 6 ldq75 t (lvds)* v3 pl58b 6 ldq56 c (lvds)* pl77b 6 ldq75 c (lvds)* aa2 pl59a 6 ldq56 t pl78a 6 ldq75 t gndio gndio6 - gndio6 - aa1 pl59b 6 ldq56 c pl78b 6 ldq75 c u7 tck - tck - u5 tdi - tdi - v5 tms - tms - v6 tdo - tdo - t8 vccj - vccj - y3 pb2a 5 vref2_5/bdq6 t pb2a 5 vref2_5/bdq6 t y2 pb2b 5 vref1_5/bdq6 c pb2b 5 vref1_5/bdq6 c w 4 pb3a 5 bdq6 t pb3a 5 bdq6 t w 3 pb3b 5 bdq6 c pb3b 5 bdq6 c w 5 pb4a 5 bdq6 t pb4a 5 bdq6 t w 6 pb4b 5 bdq6 c pb4b 5 bdq6 c vccio vccio5 5 vccio 5 ab3 pb5a 5 bdq6 t pb5a 5 bdq6 t ab2 pb5b 5 bdq6 c pb5b 5 bdq6 c gndio gndio5 - gndio5 - y4 pb6a 5 bdqs6 t pb6a 5 bdqs6 t aa3 pb6b 5 bdq6 c pb6b 5 bdq6 c ab5 pb7a 5 bdq6 t pb7a 5 bdq6 t ab4 pb7b 5 bdq6 c pb7b 5 bdq6 c aa5 pb8a 5 bdq6 t pb8a 5 bdq6 t y5 pb8b 5 bdq6 c pb8b 5 bdq6 c vccio vccio5 5 vccio 5 ab6 pb9a 5 bdq6 t pb9a 5 bdq6 t aa6 pb9b 5 bdq6 c pb9b 5 bdq6 c gndio gndio5 - gndio5 - vccio vccio5 5 vccio 5 w 7 pb20a 5 bdq24 t pb29a 5 bdq33 t w 8 pb20b 5 bdq24 c pb29b 5 bdq33 c y6 pb21a 5 bdq24 t pb30a 5 bdq33 t y7 pb21b 5 bdq24 c pb30b 5 bdq33 c aa7 pb22a 5 bdq24 t pb31a 5 bdq33 t vccio vccio5 5 vccio 5 ab7 pb22b 5 bdq24 c pb31b 5 bdq33 c u8 pb23a 5 bdq24 t pb32a 5 bdq33 t u9 pb23b 5 bdq24 c pb32b 5 bdq33 c w 9 pb24a 5 bdqs24 t pb33a 5 bdqs33 t gndio gndio5 - gndio5 - lfe2-35e/se and lfe2-50e/se logic signal connections: 484 fpbga lfe2-35e/se lfe2-50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-63 pinout information lattice semiconductor latticeecp2/m family data sheet v9 pb24b 5 bdq24 c pb33b 5 bdq33 c y8 pb25a 5 bdq24 t pb34a 5 bdq33 t aa8 pb25b 5 bdq24 c pb34b 5 bdq33 c w 10 pb26a 5 bdq24 t pb35a 5 bdq33 t vccio vccio5 5 vccio 5 v10 pb26b 5 bdq24 c pb35b 5 bdq33 c ab8 pb27a 5 bdq24 t pb36a 5 bdq33 t aa9 pb27b 5 bdq24 c pb36b 5 bdq33 c gndio gndio5 - gndio5 - ab9 pb29a 5 bdq33 t pb38a 5 bdq42 t ab10 pb29b 5 bdq33 c pb38b 5 bdq42 c y10 pb30a 5 bdq33 t pb39a 5 bdq42 t aa10 pb30b 5 bdq33 c pb39b 5 bdq42 c u10 pb31a 5 bdq33 t pb40a 5 bdq42 t u11 pb31b 5 bdq33 c pb40b 5 bdq42 c vccio vccio5 5 vccio 5 ab11 pb32a 5 bdq33 t pb41a 5 bdq42 t aa11 pb32b 5 bdq33 c pb41b 5 bdq42 c gndio gndio5 - gndio5 - y11 pb33a 5 bdqs33 t pb42a 5 bdqs42 t w 11 pb33b 5 bdq33 c pb42b 5 bdq42 c ab12 pb34a 5 bdq33 t pb43a 5 bdq42 t aa12 pb34b 5 bdq33 c pb43b 5 bdq42 c ab13 pb35a 5 pclkt5_0/bdq33 t pb44a 5 pclkt5_0/bdq42 t ab14 pb35b 5 pclkc5_0/bdq33 c pb44b 5 pclkc5_0/bdq42 c vccio vccio5 5 vccio 5 gndio gndio5 - gndio5 - u12 pb40a 4 pclkt4_0/bdq42 t pb49a 4 pclkt4_0/bdq51 t vccio vccio4 4 vccio 4 v12 pb40b 4 pclkc4_0/bdq42 c pb49b 4 pclkc4_0/bdq51 c y12 pb41a 4 bdq42 t pb50a 4 bdq51 t w 12 pb41b 4 bdq42 c pb50b 4 bdq51 c aa13 pb42a 4 bdqs42 t pb51a 4 bdqs51 t gndio gndio4 - gndio4 - y13 pb42b 4 bdq42 c pb51b 4 bdq51 c u13 pb43a 4 bdq42 t pb52a 4 bdq51 t u14 pb43b 4 bdq42 c pb52b 4 bdq51 c ab15 pb44a 4 bdq42 t pb53a 4 bdq51 t vccio vccio4 4 vccio 4 aa14 pb44b 4 bdq42 c pb53b 4 bdq51 c ab16 pb45a 4 bdq42 t pb54a 4 bdq51 t ab17 pb45b 4 bdq42 c pb54b 4 bdq51 c w 13 pb46a 4 bdq42 t pb55a 4 bdq51 t gndio gndio4 - gndio4 - w 14 pb46b 4 bdq42 c pb55b 4 bdq51 c ab18 pb48a 4 bdq51 t pb57a 4 bdq60 t ab19 pb48b 4 bdq51 c pb57b 4 bdq60 c lfe2-35e/se and lfe2-50e/se logic signal connections: 484 fpbga lfe2-35e/se lfe2-50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-64 pinout information lattice semiconductor latticeecp2/m family data sheet v14 pb49a 4 bdq51 t pb58a 4 bdq60 t w 15 pb49b 4 bdq51 c pb58b 4 bdq60 c vccio vccio4 4 vccio 4 y15 pb50a 4 bdq51 t pb59a 4 bdq60 t aa15 pb50b 4 bdq51 c pb59b 4 bdq60 c gndio gndio4 - gndio4 - aa16 pb51a 4 bdqs51 t pb60a 4 bdqs60 t aa17 pb51b 4 bdq51 c pb60b 4 bdq60 c ab20 pb52a 4 bdq51 t pb61a 4 bdq60 t ab21 pb52b 4 bdq51 c pb61b 4 bdq60 c u15 pb53a 4 bdq51 t pb62a 4 bdq60 t u16 pb53b 4 bdq51 c pb62b 4 bdq60 c vccio vccio4 4 vccio 4 y16 pb54a 4 bdq51 t pb63a 4 bdq60 t w 16 pb54b 4 bdq51 c pb63b 4 bdq60 c aa18 pb55a 4 bdq51 t pb64a 4 bdq60 t aa20 pb55b 4 bdq51 c pb64b 4 bdq60 c gndio gndio4 - gndio4 - vccio vccio4 4 vccio 4 aa21 pb66a 4 bdq69 t pb75a 4 bdq78 t aa22 pb66b 4 bdq69 c pb75b 4 bdq78 c v16 pb67a 4 bdq69 t pb76a 4 bdq78 t v17 pb67b 4 bdq69 c pb76b 4 bdq78 c vccio vccio4 4 vccio 4 y18 pb68a 4 bdq69 t pb77a 4 bdq78 t y17 pb68b 4 bdq69 c pb77b 4 bdq78 c gndio gndio4 - gndio4 - y19 pb69a 4 bdqs69 t pb78a 4 bdqs78 t y20 pb69b 4 bdq69 c pb78b 4 bdq78 c w 17 pb70a 4 bdq69 t pb79a 4 bdq78 t w 18 pb70b 4 bdq69 c pb79b 4 bdq78 c y21 pb71a 4 bdq69 t pb80a 4 bdq78 t y22 pb71b 4 bdq69 c pb80b 4 bdq78 c vccio vccio4 4 vccio 4 u18 pb72a 4 bdq69 t pb81a 4 bdq78 t v18 pb72b 4 bdq69 c pb81b 4 bdq78 c t15 pb73a 4 vref2_4/bdq69 t pb82a 4 vref2_4/bdq78 t t16 pb73b 4 vref1_4/bdq69 c pb82b 4 vref1_4/bdq78 c gndio gndio4 - gndio4 - w 19 cfg2 8 cfg2 8 v19 cfg1 8 cfg1 8 w 20 cfg0 8 cfg0 8 v20 programn 8 programn 8 w 22 cclk 8 cclk 8 v22 initn 8 initn 8 v21 done 8 done 8 gndio gndio8 - gndio8 - lfe2-35e/se and lfe2-50e/se logic signal connections: 484 fpbga lfe2-35e/se lfe2-50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-65 pinout information lattice semiconductor latticeecp2/m family data sheet r16 pr58b 8 w riten c pr77b 8 w riten c r17 pr58a 8 cs1n t pr77a 8 cs1n t u19 pr57b 8 csn c pr76b 8 csn c u20 pr57a 8 d0/spifastn t pr76a 8 d0/spifastn t vccio vccio8 8 vccio 8 u22 pr56b 8 d1 c pr75b 8 d1 c u21 pr56a 8 d2 t pr75a 8 d2 t t20 pr55b 8 d3 c pr74b 8 d3 c gndio gndio8 - gndio8 - t19 pr55a 8 d4 t pr74a 8 d4 t t17 pr54b 8 d5 c pr73b 8 d5 c t18 pr54a 8 d6 t pr73a 8 d6 t t21 pr53b 8 d7 c pr72b 8 d7 c vccio vccio8 8 vccio 8 t22 pr53a 8 di/csspi0n t pr72a 8 di/csspi0n t r18 pr52b 8 dout/cson c pr71b 8 dout/cson c r19 pr52a 8 busy/sispi t pr71a 8 busy/sispi t gndio gndio3 - gndio3 - vccio vccio3 3 vccio 3 r22 pr47b 3 rdq48 c pr66b 3 rdq67 c r21 pr47a 3 rdq48 t pr66a 3 rdq67 t p18 pr46b 3 rdq48 c (lvds)* pr65b 3 rdq67 c (lvds)* p19 pr46a 3 rdq48 t (lvds)* pr65a 3 rdq67 t (lvds)* vccio vccio3 3 vccio 3 r20 pr45b 3 rlm0_gpllc_fb_a/rdq48 c pr64b 3 rlm0_gpllc_fb_a/rdq67 c p22 pr45a 3 rlm0_gpllt_fb_a/rdq48 t pr64a 3 rlm0_gpllt_fb_a/rdq67 t p21 pr44b 3 rlm0_gpllc_in_a**/rdq48 c (lvds)* pr63b 3 rlm0_gpllc_in_a**/rdq67 c (lvds)* n21 pr44a 3 rlm0_gpllt_in_a**/rdq48 t (lvds)* pr63a 3 rlm0_gpllt_in_a**/rdq67 t (lvds)* n17 rlm0_pllcap 3 rlm0_pllcap 3 n22 pr42b 3 rlm0_gdllc_fb_a/rdq39 c pr61b 3 rlm0_gdllc_fb_a/rdq58 c n20 pr42a 3 rlm0_gdllt_fb_a/rdq39 t pr61a 3 rlm0_gdllt_fb_a/rdq58 t gndio gndio3 - gndio3 - m22 pr41b 3 rlm0_gdllc_in_a**/rdq39 c (lvds)* pr60b 3 rlm0_gdllc_in_a**/rdq58 c (lvds)* m21 pr41a 3 rlm0_gdllt_in_a**/rdq39 t (lvds)* pr60a 3 rlm0_gdllt_in_a**/rdq58 t (lvds)* n19 pr40b 3 rdq39 c pr59b 3 rdq58 c m19 pr40a 3 rdq39 t pr59a 3 rdq58 t vccio vccio3 3 vccio 3 gndio gndio3 - gndio3 - l22 pr30b 3 rdq31 c pr49b 3 rdq50 c k22 pr30a 3 rdq31 t pr49a 3 rdq50 t j22 pr29b 3 rdq31 c (lvds)* pr48b 3 rdq50 c (lvds)* h22 pr29a 3 rdq31 t (lvds)* pr48a 3 rdq50 t (lvds)* vccio vccio3 3 vccio 3 m20 pr28b 3 vref2_3/rdq31 c pr47b 3 vref2_3/rdq50 c l21 pr28a 3 vref1_3/rdq31 t pr47a 3 vref1_3/rdq50 t k21 pr27b 3 pclkc3_0/rdq31 c (lvds)* pr46b 3 pclkc3_0/rdq50 c (lvds)* j21 pr27a 3 pclkt3_0/rdq31 t (lvds)* pr46a 3 pclkt3_0/rdq50 t (lvds)* lfe2-35e/se and lfe2-50e/se logic signal connections: 484 fpbga lfe2-35e/se lfe2-50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-66 pinout information lattice semiconductor latticeecp2/m family data sheet m18 pr25b 2 pclkc2_0/rdq22 c pr44b 2 pclkc2_0/rdq41 c l17 pr25a 2 pclkt2_0/rdq22 t pr44a 2 pclkt2_0/rdq41 t gndio gndio2 - gndio2 - l19 pr24b 2 rdq22 c (lvds)* pr43b 2 rdq41 c (lvds)* l20 pr24a 2 rdq22 t (lvds)* pr43a 2 rdq41 t (lvds)* l18 pr23b 2 rdq22 c pr42b 2 rdq41 c k17 pr23a 2 rdq22 t pr42a 2 rdq41 t vccio vccio2 2 vccio 2 k18 pr22b 2 rdq22 c (lvds)* pr41b 2 rdq41 c (lvds)* k19 pr22a 2 rdqs22 t (lvds)* pr41a 2 rdqs41 t (lvds)* g22 pr21b 2 rdq22 c pr40b 2 rdq41 c gndio gndio2 - gndio2 - f22 pr21a 2 rdq22 t pr40a 2 rdq41 t j17 pr20b 2 rdq22 c (lvds)* pr39b 2 rdq41 c (lvds)* j18 pr20a 2 rdq22 t (lvds)* pr39a 2 rdq41 t (lvds)* k20 pr19b 2 rdq22 c pr38b 2 rdq41 c vccio vccio2 2 vccio 2 j19 pr19a 2 rdq22 t pr38a 2 rdq41 t h21 pr18b 2 rdq22 c (lvds)* pr37b 2 rdq41 c (lvds)* g21 pr18a 2 rdq22 t (lvds)* pr37a 2 rdq41 t (lvds)* - - - gndio2 - - - - vccio 2 h17 nc - pr26b 2 rum0_spllc_fb_a/rdq24 c h16 nc - pr26a 2 rum0_spllt_fb_a/rdq24 t h20 nc - pr25b 2 rum0_spllc_in_a/rdq24 c h18 nc - pr25a 2 rum0_spllt_in_a/rdq24 t - - - gndio2 - - - - vccio 2 f21 pr17b 2 rdq14 c pr19b 2 rdq16 c gndio gndio2 - gndio2 - e22 pr17a 2 rdq14 t pr19a 2 rdq16 t d22 pr16b 2 rdq14 c (lvds)* pr18b 2 rdq16 c (lvds)* e21 pr16a 2 rdq14 t (lvds)* pr18a 2 rdq16 t (lvds)* g20 pr15b 2 rdq14 c pr17b 2 rdq16 c vccio vccio2 2 vccio 2 f20 pr15a 2 rdq14 t pr17a 2 rdq16 t h19 pr14b 2 rdq14 c (lvds)* pr16b 2 rdq16 c (lvds)* g19 pr14a 2 rdqs14 t (lvds)* pr16a 2 rdqs16 t (lvds)* gndio gndio2 - gndio2 - g17 pr13b 2 rdq14 c pr15b 2 rdq16 c f19 pr13a 2 rdq14 t pr15a 2 rdq16 t e20 pr12b 2 rdq14 c (lvds)* pr14b 2 rdq16 c (lvds)* d20 pr12a 2 rdq14 t (lvds)* pr14a 2 rdq16 t (lvds)* vccio vccio2 2 vccio 2 f18 pr11b 2 rdq14 c pr13b 2 rdq16 c f16 pr11a 2 rdq14 t pr13a 2 rdq16 t c21 pr10b 2 rdq14 c (lvds)* pr12b 2 rdq16 c (lvds)* lfe2-35e/se and lfe2-50e/se logic signal connections: 484 fpbga lfe2-35e/se lfe2-50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-67 pinout information lattice semiconductor latticeecp2/m family data sheet c22 pr10a 2 rdq14 t (lvds)* pr12a 2 rdq16 t (lvds)* vccio vccio2 2 vccio 2 gndio gndio2 - gndio2 - d19 pr2b 2 vref2_2/rdq6 c (lvds)* pr2b 2 vref2_2 c (lvds)* e19 pr2a 2 vref1_2/rdq6 t (lvds)* pr2a 2 vref1_2 t (lvds)* b21 pt73b 1 vref2_1 c pt82b 1 vref2_1 c gndio gndio1 - gndio1 - b22 pt73a 1 vref1_1 t pt82a 1 vref1_1 t c20 pt72b 1 c pt81b 1 c c19 pt72a 1 t pt81a 1 t d18 pt71b 1 c pt80b 1 c vccio vccio1 1 vccio 1 e18 pt71a 1 t pt80a 1 t b20 pt70b 1 c pt79b 1 c a19 pt70a 1 t pt79a 1 t d17 pt69b 1 c pt78b 1 c c18 pt69a 1 t pt78a 1 t a21 pt68b 1 c pt77b 1 c gndio gndio1 - gndio1 - a20 pt68a 1 t pt77a 1 t a18 pt67b 1 c pt76b 1 c vccio vccio1 1 vccio 1 b18 pt67a 1 t pt76a 1 t g16 pt66b 1 c pt75b 1 c g15 pt66a 1 t pt75a 1 t d16 pt65b 1 c pt74b 1 c e16 pt65a 1 t pt74a 1 t gndio gndio1 - gndio1 - vccio vccio1 1 vccio 1 c17 pt55b 1 c pt64b 1 c gndio gndio1 - gndio1 - c16 pt55a 1 t pt64a 1 t b17 pt54b 1 c pt63b 1 c b16 pt54a 1 t pt63a 1 t a17 pt53b 1 c pt62b 1 c vccio vccio1 1 vccio 1 a16 pt53a 1 t pt62a 1 t c15 pt52b 1 c pt61b 1 c d15 pt52a 1 t pt61a 1 t e15 pt51b 1 c pt60b 1 c f15 pt51a 1 t pt60a 1 t gndio gndio1 - gndio1 - b15 pt49b 1 c pt58b 1 c vccio vccio1 1 vccio 1 a15 pt49a 1 t pt58a 1 t b14 pt48b 1 c pt57b 1 c a14 pt48a 1 t pt57a 1 t lfe2-35e/se and lfe2-50e/se logic signal connections: 484 fpbga lfe2-35e/se lfe2-50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-68 pinout information lattice semiconductor latticeecp2/m family data sheet d14 pt46b 1 c pt55b 1 c c13 pt46a 1 t pt55a 1 t gndio gndio1 - gndio1 - e14 pt45b 1 c pt54b 1 c f14 pt45a 1 t pt54a 1 t a13 pt44b 1 c pt53b 1 c b13 pt44a 1 t pt53a 1 t vccio vccio1 1 vccio 1 e13 pt43b 1 c pt52b 1 c d13 pt43a 1 t pt52a 1 t e12 pt42b 1 c pt51b 1 c d12 pt42a 1 t pt51a 1 t gndio gndio1 - gndio1 - a12 pt40b 1 c pt49b 1 c a11 pt40a 1 t pt49a 1 t vccio vccio1 1 vccio 1 b12 pt39b 1 pclkc1_0 c pt48b 1 pclkc1_0 c c12 pt39a 1 pclkt1_0 t pt48a 1 pclkt1_0 t f12 xres 1 xres 1 b10 pt37b 0 pclkc0_0 c pt46b 0 pclkc0_0 c gndio gndio0 - gndio0 0 b11 pt37a 0 pclkt0_0 t pt46a 0 pclkt0_0 t a10 pt36b 0 c pt45b 0 c a9 pt36a 0 t pt45a 0 t c11 pt35b 0 c pt44b 0 c vccio vccio0 0 vccio 0 c10 pt35a 0 t pt44a 0 t e11 pt34b 0 c pt43b 0 c f11 pt34a 0 t pt43a 0 t a8 pt33b 0 c pt42b 0 c a7 pt33a 0 t pt42a 0 t b8 pt32b 0 c pt41b 0 c gndio gndio0 - gndio0 0 b9 pt32a 0 t pt41a 0 t vccio vccio0 0 vccio 0 b7 pt30b 0 c pt39b 0 c a6 pt30a 0 t pt39a 0 t c8 pt29b 0 c pt38b 0 c d8 pt29a 0 t pt38a 0 t gndio gndio0 - gndio0 0 d10 pt27b 0 c pt36b 0 c e10 pt27a 0 t pt36a 0 t c7 pt26b 0 c pt35b 0 c c6 pt26a 0 t pt35a 0 t vccio vccio0 0 vccio 0 b6 pt25b 0 c pt34b 0 c b5 pt25a 0 t pt34a 0 t lfe2-35e/se and lfe2-50e/se logic signal connections: 484 fpbga lfe2-35e/se lfe2-50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-69 pinout information lattice semiconductor latticeecp2/m family data sheet f10 pt24b 0 c pt33b 0 c d9 pt24a 0 t pt33a 0 t gndio gndio0 - gndio0 0 f9 pt23b 0 c pt32b 0 c e9 pt23a 0 t pt32a 0 t a5 pt22b 0 c pt31b 0 c a4 pt22a 0 t pt31a 0 t vccio vccio0 0 vccio 0 a3 pt21b 0 c pt30b 0 c a2 pt21a 0 t pt30a 0 t g8 pt20b 0 c pt29b 0 c e8 pt20a 0 t pt29a 0 t gndio gndio0 - gndio0 0 vccio vccio0 0 vccio 0 c3 pt10b 0 c pt10b 0 c b3 pt10a 0 t pt10a 0 t gndio gndio0 - gndio0 0 f8 pt9b 0 c pt9b 0 c d7 pt9a 0 t pt9a 0 t e7 pt8b 0 c pt8b 0 c vccio vccio0 0 vccio 0 f7 pt8a 0 t pt8a 0 t d5 pt7b 0 c pt7b 0 c d6 pt7a 0 t pt7a 0 t d4 pt6b 0 c pt6b 0 c c4 pt6a 0 t pt6a 0 t gndio gndio0 - gndio0 0 b2 pt5b 0 c pt5b 0 c b1 pt5a 0 t pt5a 0 t j7 pt4b 0 c pt4b 0 c vccio vccio0 0 vccio 0 h7 pt4a 0 t pt4a 0 t d3 pt3b 0 c pt3b 0 c c2 pt3a 0 t pt3a 0 t d1 pt2b 0 vref2_0 c pt2b 0 vref2_0 c c1 pt2a 0 vref1_0 t pt2a 0 vref1_0 t j10 vcc - vcc - j11 vcc - vcc - j12 vcc - vcc - j13 vcc - vcc - k14 vcc - vcc - k9 vcc - vcc - l14 vcc - vcc - l9 vcc - vcc - m14 vcc - vcc - m9 vcc - vcc - n14 vcc - vcc - lfe2-35e/se and lfe2-50e/se logic signal connections: 484 fpbga lfe2-35e/se lfe2-50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-70 pinout information lattice semiconductor latticeecp2/m family data sheet n9 vcc - vcc - p10 vcc - vcc - p11 vcc - vcc - p12 vcc - vcc - p13 vcc - vcc - g5 vccaux - vccaux 0 k5 vccaux - vccaux 0 r5 vccaux - vccaux 1 v7 vccaux - vccaux 1 v11 vccaux - vccaux 2 v8 vccaux - vccaux 2 v13 vccaux - vccaux 3 v15 vccaux - vccaux 3 m17 vccaux - vccaux 4 p17 vccaux - vccaux 4 e17 vccaux - vccaux 5 g18 vccaux - vccaux 5 d11 vccaux - vccaux 6 f13 vccaux - vccaux 6 c5 vccaux - vccaux 7 e6 vccaux - vccaux 7 g10 vccio0 0 vccio0 0 g9 vccio0 0 vccio0 0 h8 vccio0 0 vccio0 0 h9 vccio0 0 vccio0 0 g11 vccio1 1 vccio1 1 g12 vccio1 1 vccio1 1 g13 vccio1 1 vccio1 1 g14 vccio1 1 vccio1 1 h14 vccio2 2 vccio2 2 h15 vccio2 2 vccio2 2 j15 vccio2 2 vccio2 2 k16 vccio2 2 vccio2 2 l16 vccio3 3 vccio3 3 m16 vccio3 3 vccio3 3 n16 vccio3 3 vccio3 3 p16 vccio3 3 vccio3 3 r14 vccio4 4 vccio4 4 t12 vccio4 4 vccio4 4 t13 vccio4 4 vccio4 4 t14 vccio4 4 vccio4 4 r9 vccio5 5 vccio5 5 t10 vccio5 5 vccio5 5 t11 vccio5 5 vccio5 5 t9 vccio5 5 vccio5 5 n7 vccio6 6 vccio6 6 p7 vccio6 6 vccio6 6 lfe2-35e/se and lfe2-50e/se logic signal connections: 484 fpbga lfe2-35e/se lfe2-50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-71 pinout information lattice semiconductor latticeecp2/m family data sheet p8 vccio6 6 vccio6 6 r8 vccio6 6 vccio6 6 j8 vccio7 7 vccio7 7 k7 vccio7 7 vccio7 7 l7 vccio7 7 vccio7 7 m7 vccio7 7 vccio7 7 p15 vccio8 8 vccio8 8 r15 vccio8 8 vccio8 8 a22 gnd - gnd - aa19 gnd - gnd - aa4 gnd - gnd - ab1 gnd - gnd - ab22 gnd - gnd - b19 gnd - gnd - b4 gnd - gnd - c14 gnd - gnd - c9 gnd - gnd - d2 gnd - gnd - d21 gnd - gnd - f17 gnd - gnd - f6 gnd - gnd - h10 gnd - gnd - h11 gnd - gnd - h12 gnd - gnd - h13 gnd - gnd - j14 gnd - gnd - j20 gnd - gnd - j3 gnd - gnd - j9 gnd - gnd - k10 gnd - gnd - k11 gnd - gnd - k12 gnd - gnd - k13 gnd - gnd - k15 gnd - gnd - k8 gnd - gnd - l10 gnd - gnd - l11 gnd - gnd - l12 gnd - gnd - l13 gnd - gnd - l15 gnd - gnd - l8 gnd - gnd - m10 gnd - gnd - m11 gnd - gnd - m12 gnd - gnd - m13 gnd - gnd - m15 gnd - gnd - m8 gnd - gnd - lfe2-35e/se and lfe2-50e/se logic signal connections: 484 fpbga lfe2-35e/se lfe2-50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-72 pinout information lattice semiconductor latticeecp2/m family data sheet n10 gnd - gnd - n11 gnd - gnd - n12 gnd - gnd - n13 gnd - gnd - n15 gnd - gnd - n8 gnd - gnd - p14 gnd - gnd - p20 gnd - gnd - p3 gnd - gnd - p9 gnd - gnd - r10 gnd - gnd - r11 gnd - gnd - r12 gnd - gnd - r13 gnd - gnd - u17 gnd - gnd - u6 gnd - gnd - w 2 gnd - gnd - w 21 gnd - gnd - y14 gnd - gnd - y9 gnd - gnd - a1 gnd - gnd - n18 vccpll - vccpll - k6 nc - vccpll - n6 vccpll - vccpll - j16 nc - vccpll - * supports true lvds. other differential signals must be emulated with external resistors. ** these dedicated input pins can be used for gplls or gdlls within the respective quadrant. ***due to packaging bond out option, this dqs does not have all the necessary dq pins bonded out for a full 8-bit data width. note: vccio and gnd pads are used to determine the average dc current drawn by i/os between gnd/vccio connections, or between t he last gnd/vccio in an i/o bank and the end of an i/o bank. the substrate pads listed in the pin table do not necessarily have a one to one connection with a package ball or pin. lfe2-35e/se and lfe2-50e/se logic signal connections: 484 fpbga lfe2-35e/se lfe2-50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-73 pinout information lattice semiconductor latticeecp2/m family data sheet lfe2-20e/se and lfe2-35e/se logic signal connections: 672 fpbga lfe2-20e/20se lfe2-35e/35se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential d2 pl2a 7 vref2_7 t (lvds)* pl2a 7 vref2_7/ldq6 t (lvds)* d1 pl2b 7 vref1_7 c (lvds)* pl2b 7 vref1_7/ldq6 c (lvds)* gnd gndio7 - gndio7 - f6 pl3a 7 t pl3a 7 ldq6 t f5 pl3b 7 c pl3b 7 ldq6 c vccio vccio7 7 vccio7 7 e4 nc - pl4a 7 ldq6 t (lvds)* e3 nc - pl4b 7 ldq6 c (lvds)* e2 nc - pl5a 7 ldq6 t e1 nc - pl5b 7 ldq6 c gnd gndio7 - gndio7 - h6 nc - pl6a 7 ldqs6 t (lvds)* h5 nc - pl6b 7 ldq6 c (lvds)* f2 nc - pl7a 7 ldq6 t vccio vccio7 7 vccio7 7 f1 nc - pl7b 7 ldq6 c h8 nc - pl8a 7 ldq6 t (lvds)* j9 nc - pl8b 7 ldq6 c (lvds)* g4 nc - pl9a 7 ldq6 t gnd gndio7 - gndio7 - g3 nc - pl9b 7 ldq6 c h7 pl4a 7 ldq8 t (lvds)* pl10a 7 ldq14 t (lvds)* j8 pl4b 7 ldq8 c (lvds)* pl10b 7 ldq14 c (lvds)* g2 pl5a 7 ldq8 t pl11a 7 ldq14 t g1 pl5b 7 ldq8 c pl11b 7 ldq14 c h3 pl6a 7 ldq8 t (lvds)* pl12a 7 ldq14 t (lvds)* vccio vccio7 7 vccio7 7 h4 pl6b 7 ldq8 c (lvds)* pl12b 7 ldq14 c (lvds)* j5 pl7a 7 ldq8 t pl13a 7 ldq14 t j4 pl7b 7 ldq8 c pl13b 7 ldq14 c j3 pl8a 7 ldqs8 t (lvds)* pl14a 7 ldqs14 t (lvds)* gnd gndio7 - gndio7 - k4 pl8b 7 ldq8 c (lvds)* pl14b 7 ldq14 c (lvds)* h1 pl9a 7 ldq8 t pl15a 7 ldq14 t h2 pl9b 7 ldq8 c pl15b 7 ldq14 c vccio vccio7 7 vccio7 7 k6 pl10a 7 ldq8 t (lvds)* pl16a 7 ldq14 t (lvds)* k7 pl10b 7 ldq8 c (lvds)* pl16b 7 ldq14 c (lvds)* j1 pl11a 7 ldq8 t pl17a 7 ldq14 t j2 pl11b 7 ldq8 c pl17b 7 ldq14 c gnd gndio7 - gndio7 - vccio vccio7 7 vccio7 7 k3 nc - nc - k2 nc - nc - gnd gndio7 - gndio7 - k1 nc - nc - l2 nc - nc -
4-74 pinout information lattice semiconductor latticeecp2/m family data sheet l1 nc - nc - vccio vccio7 7 vccio7 7 m2 nc - nc - m1 nc - nc - n2 nc - nc - gnd gndio7 - gndio7 - m8 vcc - nc - vccio vccio7 7 vccio7 7 gnd gndio7 - gndio7 - n1 pl12a 7 ldq16 pl18a 7 ldq22 l8 pl13a 7 ldq16 t pl19a 7 ldq22 t k8 pl13b 7 ldq16 c pl19b 7 ldq22 c vccio vccio7 7 vccio7 7 l6 pl14a 7 ldq16 t (lvds)* pl20a 7 ldq22 t (lvds)* k5 pl14b 7 ldq16 c (lvds)* pl20b 7 ldq22 c (lvds)* l7 pl15a 7 ldq16 t pl21a 7 ldq22 t l5 pl15b 7 ldq16 c pl21b 7 ldq22 c gnd gndio7 - gndio7 - p1 pl16a 7 ldqs16 t (lvds)* pl22a 7 ldqs22 t (lvds)* p2 pl16b 7 ldq16 c (lvds)* pl22b 7 ldq22 c (lvds)* m6 pl17a 7 ldq16 t pl23a 7 ldq22 t vccio vccio7 7 vccio7 7 n8 pl17b 7 ldq16 c pl23b 7 ldq22 c r1 pl18a 7 ldq16 t (lvds)* pl24a 7 ldq22 t (lvds)* r2 pl18b 7 ldq16 c (lvds)* pl24b 7 ldq22 c (lvds)* m7 pl19a 7 pclkt7_0/ldq16 t pl25a 7 pclkt7_0/ldq22 t gnd gndio7 - gndio7 - n9 pl19b 7 pclkc7_0/ldq16 c pl25b 7 pclkc7_0/ldq22 c m4 pl21a 6 pclkt6_0/ldq25 t (lvds)* pl27a 6 pclkt6_0/ldq31 t (lvds)* m5 pl21b 6 pclkc6_0/ldq25 c (lvds)* pl27b 6 pclkc6_0/ldq31 c (lvds)* n7 pl22a 6 vref2_6/ldq25 t pl28a 6 vref2_6/ldq31 t p9 pl22b 6 vref1_6/ldq25 c pl28b 6 vref1_6/ldq31 c n3 pl23a 6 ldq25 t (lvds)* pl29a 6 ldq31 t (lvds)* vccio vccio6 6 vccio6 6 n4 pl23b 6 ldq25 c (lvds)* pl29b 6 ldq31 c (lvds)* n5 pl24a 6 ldq25 t pl30a 6 ldq31 t p7 pl24b 6 ldq25 c pl30b 6 ldq31 c t1 nc - pl31a 6 ldqs31 t (lvds)* gnd gndio6 - gndio6 - t2 nc - pl31b 6 ldq31 c (lvds)* p8 nc - pl32a 6 ldq31 t p6 nc - pl32b 6 ldq31 c vccio vccio6 6 vccio6 6 p5 nc - pl33a 6 ldq31 t (lvds)* p4 nc - pl33b 6 ldq31 c (lvds)* u1 nc - pl34a 6 ldq31 t v1 nc - pl34b 6 ldq31 c lfe2-20e/se and lfe2-35e/se logic signal connections: 672 fpbga lfe2-20e/20se lfe2-35e/35se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-75 pinout information lattice semiconductor latticeecp2/m family data sheet gnd gndio6 - gndio6 - p3 nc - nc - r3 nc - nc - r4 nc - nc - u2 nc - nc - vccio vccio6 6 vccio6 6 v2 nc - nc - w 2 nc - nc - t6 nc - pl38a 6 ldq39 t r5 nc - pl38b 6 ldq39 c gnd gndio6 - gndio6 - r6 pl25a 6 ldqs25*** t (lvds)* pl39a 6 ldqs39*** t (lvds)* r7 pl25b 6 ldq25 c (lvds)* pl39b 6 ldq39 c (lvds)* w 1 pl26a 6 ldq25 t pl40a 6 ldq39 t vccio vccio6 6 vccio6 6 y2 pl26b 6 ldq25 c pl40b 6 ldq39 c y1 pl27a 6 llm0_gdllt_in_a**/ldq25 t (lvds)* pl41a 6 llm0_gdllt_in_a**/ldq39 t (lvds)* aa2 pl27b 6 llm0_gdllc_in_a**/ldq25 c (lvds)* pl41b 6 llm0_gdllc_in_a**/ldq39 c (lvds)* t5 pl28a 6 llm0_gdllt_fb_a/ldq25 t pl42a 6 llm0_gdllt_fb_a/ldq39 t gnd gndio6 - gndio6 - t7 pl28b 6 llm0_gdllc_fb_a/ldq25 c pl42b 6 llm0_gdllc_fb_a/ldq39 c r8 vcc 6 vccpll 6 t8 llm0_pllcap 6 llm0_pllcap 6 u3 pl30a 6 llm0_gpllt_in_a**/ldq34 t (lvds)* pl44a 6 llm0_gpllt_in_a**/ldq48 t (lvds)* u4 pl30b 6 llm0_gpllc_in_a**/ldq34 c (lvds)* pl44b 6 llm0_gpllc_in_a**/ldq48 c (lvds)* v3 pl31a 6 llm0_gpllt_fb_a/ldq34 t pl45a 6 llm0_gpllt_fb_a/ldq48 t u5 pl31b 6 llm0_gpllc_fb_a/ldq34 c pl45b 6 llm0_gpllc_fb_a/ldq48 c v4 pl32a 6 ldq34 t (lvds)* pl46a 6 ldq48 t (lvds)* vccio vccio6 6 vccio6 6 v5 pl32b 6 ldq34 c (lvds)* pl46b 6 ldq48 c (lvds)* y3 pl33a 6 ldq34 t pl47a 6 ldq48 t y4 pl33b 6 ldq34 c pl47b 6 ldq48 c w 3 pl34a 6 ldqs34 t (lvds)* pl48a 6 ldqs48 t (lvds)* gnd gndio6 - gndio6 - w 4 pl34b 6 ldq34 c (lvds)* pl48b 6 ldq48 c (lvds)* aa1 pl35a 6 ldq34 t pl49a 6 ldq48 t ab1 pl35b 6 ldq34 c pl49b 6 ldq48 c vccio vccio6 6 vccio6 6 u8 pl36a 6 ldq34 t (lvds)* pl50a 6 ldq48 t (lvds)* u7 pl36b 6 ldq34 c (lvds)* pl50b 6 ldq48 c (lvds)* v8 pl37a 6 ldq34 t pl51a 6 ldq48 t u6 pl37b 6 ldq34 c pl51b 6 ldq48 c gnd gndio6 - gndio6 - w 6 pl38a 6 ldq42 t (lvds)* pl52a 6 ldq56 t (lvds)* w 5 pl38b 6 ldq42 c (lvds)* pl52b 6 ldq56 c (lvds)* ac1 pl39a 6 ldq42 t pl53a 6 ldq56 t ad1 pl39b 6 ldq42 c pl53b 6 ldq56 c lfe2-20e/se and lfe2-35e/se logic signal connections: 672 fpbga lfe2-20e/20se lfe2-35e/35se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-76 pinout information lattice semiconductor latticeecp2/m family data sheet vccio vccio6 6 vccio6 6 y6 pl40a 6 ldq42 t (lvds)* pl54a 6 ldq56 t (lvds)* y5 pl40b 6 ldq42 c (lvds)* pl54b 6 ldq56 c (lvds)* ae2 pl41a 6 ldq42 t pl55a 6 ldq56 t ad2 pl41b 6 ldq42 c pl55b 6 ldq56 c gnd gndio6 - gndio6 - ab3 pl42a 6 ldqs42 t (lvds)* pl56a 6 ldqs56 t (lvds)* ab2 pl42b 6 ldq42 c (lvds)* pl56b 6 ldq56 c (lvds)* w 7 pl43a 6 ldq42 t pl57a 6 ldq56 t vccio vccio6 6 vccio6 6 w 8 pl43b 6 ldq42 c pl57b 6 ldq56 c y7 pl44a 6 ldq42 t (lvds)* pl58a 6 ldq56 t (lvds)* y8 pl44b 6 ldq42 c (lvds)* pl58b 6 ldq56 c (lvds)* ac2 pl45a 6 ldq42 t pl59a 6 ldq56 t gnd gndio6 - gndio6 - ad3 pl45b 6 ldq42 c pl59b 6 ldq56 c ac3 tck - tck - aa8 tdi - tdi - ab4 tms - tms - aa5 tdo - tdo - ab5 vccj - vccj - ae3 pb2a 5 vref2_5/bdq6 t pb2a 5 vref2_5/bdq6 t af3 pb2b 5 vref1_5/bdq6 c pb2b 5 vref1_5/bdq6 c ac4 pb3a 5 bdq6 t pb3a 5 bdq6 t ad4 pb3b 5 bdq6 c pb3b 5 bdq6 c ae4 pb4a 5 bdq6 t pb4a 5 bdq6 t af4 pb4b 5 bdq6 c pb4b 5 bdq6 c vccio vccio5 5 vccio5 5 v9 pb5a 5 bdq6 t pb5a 5 bdq6 t w 9 pb5b 5 bdq6 c pb5b 5 bdq6 c gnd gndio5 - gndio5 - aa6 pb6a 5 bdqs6 t pb6a 5 bdqs6 t ab6 pb6b 5 bdq6 c pb6b 5 bdq6 c ac5 pb7a 5 bdq6 t pb7a 5 bdq6 t ad5 pb7b 5 bdq6 c pb7b 5 bdq6 c aa7 pb8a 5 bdq6 t pb8a 5 bdq6 t ab7 pb8b 5 bdq6 c pb8b 5 bdq6 c vccio vccio5 5 vccio5 5 ae5 pb9a 5 bdq6 t pb9a 5 bdq6 t af5 pb9b 5 bdq6 c pb9b 5 bdq6 c ac7 pb10a 5 bdq6 t pb10a 5 bdq6 t ad7 pb10b 5 bdq6 c pb10b 5 bdq6 c vccio vccio5 5 vccio5 5 gnd gndio5 - gndio5 - w 10 pb11a 5 bdq15 t pb11a 5 bdq15 t y10 pb11b 5 bdq15 c pb11b 5 bdq15 c w 11 pb12a 5 bdq15 t pb12a 5 bdq15 t lfe2-20e/se and lfe2-35e/se logic signal connections: 672 fpbga lfe2-20e/20se lfe2-35e/35se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-77 pinout information lattice semiconductor latticeecp2/m family data sheet aa10 pb12b 5 bdq15 c pb12b 5 bdq15 c ac8 pb13a 5 bdq15 t pb13a 5 bdq15 t ad8 pb13b 5 bdq15 c pb13b 5 bdq15 c vccio vccio5 5 vccio5 5 ab8 pb14a 5 bdq15 t pb14a 5 bdq15 t ab10 pb14b 5 bdq15 c pb14b 5 bdq15 c gnd gndio5 - gndio5 - ae6 pb15a 5 bdqs15 t pb15a 5 bdqs15 t af6 pb15b 5 bdq15 c pb15b 5 bdq15 c aa11 pb16a 5 bdq15 t pb16a 5 bdq15 t ac9 pb16b 5 bdq15 c pb16b 5 bdq15 c ab9 pb17a 5 bdq15 t pb17a 5 bdq15 t ad9 pb17b 5 bdq15 c pb17b 5 bdq15 c vccio vccio5 5 vccio5 5 y11 pb18a 5 bdq15 t pb18a 5 bdq15 t ab11 pb18b 5 bdq15 c pb18b 5 bdq15 c ae7 pb19a 5 bdq15 t pb19a 5 bdq15 t af7 pb19b 5 bdq15 c pb19b 5 bdq15 c gnd gndio5 - gndio5 - ac10 pb20a 5 bdq24 t pb20a 5 bdq24 t ad10 pb20b 5 bdq24 c pb20b 5 bdq24 c aa12 pb21a 5 bdq24 t pb21a 5 bdq24 t w 12 pb21b 5 bdq24 c pb21b 5 bdq24 c ab12 pb22a 5 bdq24 t pb22a 5 bdq24 t vccio vccio5 5 vccio5 5 y12 pb22b 5 bdq24 c pb22b 5 bdq24 c ad12 pb23a 5 bdq24 t pb23a 5 bdq24 t ac12 pb23b 5 bdq24 c pb23b 5 bdq24 c ac13 pb24a 5 bdqs24 t pb24a 5 bdqs24 t gnd gndio5 - gndio5 - aa13 pb24b 5 bdq24 c pb24b 5 bdq24 c ad13 pb25a 5 bdq24 t pb25a 5 bdq24 t ac14 pb25b 5 bdq24 c pb25b 5 bdq24 c ae8 pb26a 5 bdq24 t pb26a 5 bdq24 t vccio vccio5 5 vccio5 5 af8 pb26b 5 bdq24 c pb26b 5 bdq24 c ab15 pb27a 5 bdq24 t pb27a 5 bdq24 t y13 pb27b 5 bdq24 c pb27b 5 bdq24 c ae9 pb28a 5 bdq24 t pb28a 5 bdq24 t gnd gndio5 - gndio5 - af9 pb28b 5 bdq24 c pb28b 5 bdq24 c w 13 pb29a 5 bdq33 t pb29a 5 bdq33 t aa14 pb29b 5 bdq33 c pb29b 5 bdq33 c ae10 pb30a 5 bdq33 t pb30a 5 bdq33 t af10 pb30b 5 bdq33 c pb30b 5 bdq33 c w 14 pb31a 5 bdq33 t pb31a 5 bdq33 t ab13 pb31b 5 bdq33 c pb31b 5 bdq33 c lfe2-20e/se and lfe2-35e/se logic signal connections: 672 fpbga lfe2-20e/20se lfe2-35e/35se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-78 pinout information lattice semiconductor latticeecp2/m family data sheet vccio vccio5 5 vccio5 5 y14 pb32a 5 bdq33 t pb32a 5 bdq33 t ab14 pb32b 5 bdq33 c pb32b 5 bdq33 c gnd gndio5 - gndio5 - ae11 pb33a 5 bdqs33 t pb33a 5 bdqs33 t af11 pb33b 5 bdq33 c pb33b 5 bdq33 c ad14 pb34a 5 bdq33 t pb34a 5 bdq33 t aa15 pb34b 5 bdq33 c pb34b 5 bdq33 c ae12 pb35a 5 pclkt5_0/bdq33 t pb35a 5 pclkt5_0/bdq33 t af12 pb35b 5 pclkc5_0/bdq33 c pb35b 5 pclkc5_0/bdq33 c vccio vccio5 5 vccio5 5 gnd gndio5 - gndio5 - ad15 pb40a 4 pclkt4_0/bdq42 t pb40a 4 pclkt4_0/bdq42 t vccio vccio4 4 vccio4 4 ac15 pb40b 4 pclkc4_0/bdq42 c pb40b 4 pclkc4_0/bdq42 c ae13 pb41a 4 bdq42 t pb41a 4 bdq42 t af13 pb41b 4 bdq42 c pb41b 4 bdq42 c ab17 pb42a 4 bdqs42 t pb42a 4 bdqs42 t gnd gndio4 - gndio4 - y15 pb42b 4 bdq42 c pb42b 4 bdq42 c ae14 pb43a 4 bdq42 t pb43a 4 bdq42 t af14 pb43b 4 bdq42 c pb43b 4 bdq42 c aa16 pb44a 4 bdq42 t pb44a 4 bdq42 t vccio vccio4 4 vccio4 4 w 15 pb44b 4 bdq42 c pb44b 4 bdq42 c ac17 pb45a 4 bdq42 t pb45a 4 bdq42 t ab16 pb45b 4 bdq42 c pb45b 4 bdq42 c ae15 pb46a 4 bdq42 t pb46a 4 bdq42 t gnd gndio4 - gndio4 - af15 pb46b 4 bdq42 c pb46b 4 bdq42 c ae16 pb47a 4 bdq51 t pb47a 4 bdq51 t af16 pb47b 4 bdq51 c pb47b 4 bdq51 c y16 pb48a 4 bdq51 t pb48a 4 bdq51 t ab18 pb48b 4 bdq51 c pb48b 4 bdq51 c ad17 pb49a 4 bdq51 t pb49a 4 bdq51 t ad18 pb49b 4 bdq51 c pb49b 4 bdq51 c vccio vccio4 4 vccio4 4 ac18 pb50a 4 bdq51 t pb50a 4 bdq51 t ad19 pb50b 4 bdq51 c pb50b 4 bdq51 c gnd gndio4 - gndio4 - ac19 pb51a 4 bdqs51 t pb51a 4 bdqs51 t ae17 pb51b 4 bdq51 c pb51b 4 bdq51 c ab19 pb52a 4 bdq51 t pb52a 4 bdq51 t ae19 pb52b 4 bdq51 c pb52b 4 bdq51 c af17 pb53a 4 bdq51 t pb53a 4 bdq51 t ae18 pb53b 4 bdq51 c pb53b 4 bdq51 c vccio vccio4 4 vccio4 4 lfe2-20e/se and lfe2-35e/se logic signal connections: 672 fpbga lfe2-20e/20se lfe2-35e/35se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-79 pinout information lattice semiconductor latticeecp2/m family data sheet w 16 pb54a 4 bdq51 t pb54a 4 bdq51 t aa17 pb54b 4 bdq51 c pb54b 4 bdq51 c af18 pb55a 4 bdq51 t pb55a 4 bdq51 t af19 pb55b 4 bdq51 c pb55b 4 bdq51 c gnd gndio4 - gndio4 - aa19 nc - pb56a 4 bdq60 t w 17 nc - pb56b 4 bdq60 c y19 nc - pb57a 4 bdq60 t y17 nc - pb57b 4 bdq60 c af20 nc - nc - vccio vccio4 4 vccio4 4 ae20 nc - nc - aa20 nc - nc - w 18 nc - nc - ad20 nc - nc - gnd gndio4 - gndio4 - ae21 nc - nc - af21 nc - nc - af22 nc - nc - vccio vccio4 4 vccio4 4 gnd gndio4 - gndio4 - ae22 pb56a 4 bdq60 t pb65a 4 bdq69 t ad22 pb56b 4 bdq60 c pb65b 4 bdq69 c af23 pb57a 4 bdq60 t pb66a 4 bdq69 t ae23 pb57b 4 bdq60 c pb66b 4 bdq69 c ad23 pb58a 4 bdq60 t pb67a 4 bdq69 t ac23 pb58b 4 bdq60 c pb67b 4 bdq69 c vccio vccio4 4 vccio4 4 ab20 pb59a 4 bdq60 t pb68a 4 bdq69 t ac20 pb59b 4 bdq60 c pb68b 4 bdq69 c gnd gndio4 - gndio4 - ab21 pb60a 4 bdqs60 t pb69a 4 bdqs69 t ac22 pb60b 4 bdq60 c pb69b 4 bdq69 c w 19 pb61a 4 bdq60 t pb70a 4 bdq69 t aa21 pb61b 4 bdq60 c pb70b 4 bdq69 c af24 pb62a 4 bdq60 t pb71a 4 bdq69 t ae24 pb62b 4 bdq60 c pb71b 4 bdq69 c vccio vccio4 4 vccio4 4 y20 pb63a 4 bdq60 t pb72a 4 bdq69 t ab22 pb63b 4 bdq60 c pb72b 4 bdq69 c y21 pb64a 4 vref2_4/bdq60 t pb73a 4 vref2_4/bdq69 t ab23 pb64b 4 vref1_4/bdq60 c pb73b 4 vref1_4/bdq69 c gnd gndio4 - gndio4 - ad24 cfg2 8 cfg2 8 w 20 cfg1 8 cfg1 8 ac24 cfg0 8 cfg0 8 v19 programn 8 programn 8 lfe2-20e/se and lfe2-35e/se logic signal connections: 672 fpbga lfe2-20e/20se lfe2-35e/35se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-80 pinout information lattice semiconductor latticeecp2/m family data sheet aa22 cclk 8 cclk 8 ab24 initn 8 initn 8 ad25 done 8 done 8 gnd gndio8 - gndio8 - w 21 pr44b 8 w riten c pr58b 8 w riten c y22 pr44a 8 cs1n t pr58a 8 cs1n t ac25 pr43b 8 csn c pr57b 8 csn c ab25 pr43a 8 d0/spifastn t pr57a 8 d0/spifastn t vccio vccio8 8 vccio8 8 ad26 pr42b 8 d1 c pr56b 8 d1 c ac26 pr42a 8 d2 t pr56a 8 d2 t y23 pr41b 8 d3 c pr55b 8 d3 c gnd gndio8 - gndio8 - w 22 pr41a 8 d4 t pr55a 8 d4 t aa25 pr40b 8 d5 c pr54b 8 d5 c ab26 pr40a 8 d6 t pr54a 8 d6 t w 23 pr39b 8 d7 c pr53b 8 d7 c vccio vccio8 8 vccio8 8 v22 pr39a 8 di/csspi0n t pr53a 8 di/csspi0n t y24 pr38b 8 dout/cson c pr52b 8 dout/cson c y25 pr38a 8 busy/sispi t pr52a 8 busy/sispi t w 24 pr37b 3 rdq34 c pr51b 3 rdq48 c gnd gndio3 - gndio3 - v23 pr37a 3 rdq34 t pr51a 3 rdq48 t aa26 pr36b 3 rdq34 c (lvds)* pr50b 3 rdq48 c (lvds)* y26 pr36a 3 rdq34 t (lvds)* pr50a 3 rdq48 t (lvds)* u21 pr35b 3 rdq34 c pr49b 3 rdq48 c vccio vccio3 3 vccio3 3 u19 pr35a 3 rdq34 t pr49a 3 rdq48 t w 25 pr34b 3 rdq34 c (lvds)* pr48b 3 rdq48 c (lvds)* w 26 pr34a 3 rdqs34 t (lvds)* pr48a 3 rdqs48 t (lvds)* gnd gndio3 - gndio3 - v24 pr33b 3 rdq34 c pr47b 3 rdq48 c v25 pr33a 3 rdq34 t pr47a 3 rdq48 t v26 pr32b 3 rdq34 c (lvds)* pr46b 3 rdq48 c (lvds)* u26 pr32a 3 rdq34 t (lvds)* pr46a 3 rdq48 t (lvds)* vccio vccio3 3 vccio3 3 u22 pr31b 3 rlm0_gpllc_fb_a/rdq34 c pr45b 3 rlm0_gpllc_fb_a/rdq48 c u23 pr31a 3 rlm0_gpllt_fb_a/rdq34 t pr45a 3 rlm0_gpllt_fb_a/rdq48 t u24 pr30b 3 rlm0_gpllc_in_a**/rdq34 c (lvds)* pr44b 3 rlm0_gpllc_in_a**/rdq48 c (lvds)* u25 pr30a 3 rlm0_gpllt_in_a**/rdq34 t (lvds)* pr44a 3 rlm0_gpllt_in_a**/rdq48 t (lvds)* r20 rlm0_pllcap 3 rlm0_pllcap 3 p18 vcc 3 vccpll 3 t19 pr28b 3 rlm0_gdllc_fb_a/rdq25 c pr42b 3 rlm0_gdllc_fb_a/rdq39 c u20 pr28a 3 rlm0_gdllt_fb_a/rdq25 t pr42a 3 rlm0_gdllt_fb_a/rdq39 t gnd gndio3 - gndio3 - t25 pr27b 3 rlm0_gdllc_in_a**/rdq25 c (lvds)* pr41b 3 rlm0_gdllc_in_a**/rdq39 c (lvds)* lfe2-20e/se and lfe2-35e/se logic signal connections: 672 fpbga lfe2-20e/20se lfe2-35e/35se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-81 pinout information lattice semiconductor latticeecp2/m family data sheet t26 pr27a 3 rlm0_gdllt_in_a**/rdq25 t (lvds)* pr41a 3 rlm0_gdllt_in_a**/rdq39 t (lvds)* t20 pr26b 3 rdq25 c pr40b 3 rdq39 c t22 pr26a 3 rdq25 t pr40a 3 rdq39 t vccio vccio3 3 vccio3 3 r26 pr25b 3 rdq25 c (lvds)* pr39b 3 rdq39 c (lvds)* r25 pr25a 3 rdqs25*** t (lvds)* pr39a 3 rdqs39*** t (lvds)* r22 nc - pr38b 3 rdq39 c gnd gndio3 - gndio3 - t21 nc - pr38a 3 rdq39 t p26 nc - nc - p25 nc - nc - r24 nc - nc - vccio vccio3 3 vccio3 3 r23 nc - nc - p20 nc - nc - r19 nc - nc - p21 nc - pr34b 3 rdq31 c gnd gndio3 - gndio3 - p19 nc - pr34a 3 rdq31 t p23 nc - pr33b 3 rdq31 c (lvds)* p22 nc - pr33a 3 rdq31 t (lvds)* n22 nc - pr32b 3 rdq31 c vccio vccio3 3 vccio3 3 r21 nc - pr32a 3 rdq31 t n26 nc - pr31b 3 rdq31 c (lvds)* n25 nc - pr31a 3 rdqs31 t (lvds)* gnd gndio3 - gndio3 - n19 pr24b 3 rdq25 c pr30b 3 rdq31 c n20 pr24a 3 rdq25 t pr30a 3 rdq31 t m26 pr23b 3 rdq25 c (lvds)* pr29b 3 rdq31 c (lvds)* m25 pr23a 3 rdq25 t (lvds)* pr29a 3 rdq31 t (lvds)* vccio vccio3 3 vccio3 3 n18 pr22b 3 vref2_3/rdq25 c pr28b 3 vref2_3/rdq31 c n21 pr22a 3 vref1_3/rdq25 t pr28a 3 vref1_3/rdq31 t l26 pr21b 3 pclkc3_0/rdq25 c (lvds)* pr27b 3 pclkc3_0/rdq31 c (lvds)* l25 pr21a 3 pclkt3_0/rdq25 t (lvds)* pr27a 3 pclkt3_0/rdq31 t (lvds)* n24 pr19b 2 pclkc2_0/rdq16 c pr25b 2 pclkc2_0/rdq22 c m23 pr19a 2 pclkt2_0/rdq16 t pr25a 2 pclkt2_0/rdq22 t gnd gndio2 - gndio2 - l21 pr18b 2 rdq16 c (lvds)* pr24b 2 rdq22 c (lvds)* k22 pr18a 2 rdq16 t (lvds)* pr24a 2 rdq22 t (lvds)* m24 pr17b 2 rdq16 c pr23b 2 rdq22 c n23 pr17a 2 rdq16 t pr23a 2 rdq22 t vccio vccio2 2 vccio2 2 k26 pr16b 2 rdq16 c (lvds)* pr22b 2 rdq22 c (lvds)* k25 pr16a 2 rdqs16 t (lvds)* pr22a 2 rdqs22 t (lvds)* m20 pr15b 2 rdq16 c pr21b 2 rdq22 c lfe2-20e/se and lfe2-35e/se logic signal connections: 672 fpbga lfe2-20e/20se lfe2-35e/35se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-82 pinout information lattice semiconductor latticeecp2/m family data sheet gnd gndio2 - gndio2 - m19 pr15a 2 rdq16 t pr21a 2 rdq22 t l22 pr14b 2 rdq16 c (lvds)* pr20b 2 rdq22 c (lvds)* m22 pr14a 2 rdq16 t (lvds)* pr20a 2 rdq22 t (lvds)* k21 pr13b 2 rdq16 c pr19b 2 rdq22 c vccio vccio2 2 vccio2 2 m21 pr13a 2 rdq16 t pr19a 2 rdq22 t k24 pr12b 2 rdq16 c (lvds)* pr18b 2 rdq22 c (lvds)* j24 pr12a 2 rdq16 t (lvds)* pr18a 2 rdq22 t (lvds)* gnd gndio2 - gndio2 - vccio vccio2 2 vccio2 2 l20 vcc - nc - gnd gndio2 - gndio2 - j26 nc - nc - j25 nc - nc - j23 nc - nc - k23 nc - nc - vccio vccio2 2 vccio2 2 h26 nc - nc - h25 nc - nc - h24 nc - nc - gnd gndio2 - gndio2 - h23 nc - nc - vccio vccio2 2 vccio2 2 g26 pr11b 2 rdq8 c pr17b 2 rdq14 c gnd gndio2 - gndio2 - g25 pr11a 2 rdq8 t pr17a 2 rdq14 t f26 pr10b 2 rdq8 c (lvds)* pr16b 2 rdq14 c (lvds)* f25 pr10a 2 rdq8 t (lvds)* pr16a 2 rdq14 t (lvds)* k20 pr9b 2 rdq8 c pr15b 2 rdq14 c vccio vccio2 2 vccio2 2 l19 pr9a 2 rdq8 t pr15a 2 rdq14 t e26 pr8b 2 rdq8 c (lvds)* pr14b 2 rdq14 c (lvds)* e25 pr8a 2 rdqs8 t (lvds)* pr14a 2 rdqs14 t (lvds)* gnd gndio2 - gndio2 - j22 pr7b 2 rdq8 c pr13b 2 rdq14 c h22 pr7a 2 rdq8 t pr13a 2 rdq14 t g24 pr6b 2 rdq8 c (lvds)* pr12b 2 rdq14 c (lvds)* g23 pr6a 2 rdq8 t (lvds)* pr12a 2 rdq14 t (lvds)* vccio vccio2 2 vccio2 2 k19 pr5b 2 rdq8 c pr11b 2 rdq14 c j19 pr5a 2 rdq8 t pr11a 2 rdq14 t d26 pr4b 2 rdq8 c (lvds)* pr10b 2 rdq14 c (lvds)* c26 pr4a 2 rdq8 t (lvds)* pr10a 2 rdq14 t (lvds)* f22 nc - pr9b 2 rdq6 c e24 nc - pr9a 2 rdq6 t gnd gndio2 - gndio2 - lfe2-20e/se and lfe2-35e/se logic signal connections: 672 fpbga lfe2-20e/20se lfe2-35e/35se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-83 pinout information lattice semiconductor latticeecp2/m family data sheet d25 nc - pr8b 2 rdq6 c (lvds)* c25 nc - pr8a 2 rdq6 t (lvds)* d24 nc - pr7b 2 rdq6 c b25 nc - pr7a 2 rdq6 t vccio vccio2 2 vccio2 2 h21 nc - pr6b 2 rdq6 c (lvds)* g22 nc - pr6a 2 rdqs6 t (lvds)* b24 nc - pr5b 2 rdq6 c gnd gndio2 - gndio2 - c24 nc - pr5a 2 rdq6 t d23 nc - pr4b 2 rdq6 c (lvds)* c23 nc - pr4a 2 rdq6 t (lvds)* g21 pr3b 2 c pr3b 2 rdq6 c vccio vccio2 2 vccio2 2 h20 pr3a 2 t pr3a 2 rdq6 t gnd gndio2 - gndio2 - e22 pr2b 2 vref2_2 c (lvds)* pr2b 2 vref2_2/rdq6 c (lvds)* f21 pr2a 2 vref1_2 t (lvds)* pr2a 2 vref1_2/rdq6 t (lvds)* e23 pt64b 1 vref2_1 c pt73b 1 vref2_1 c gnd gndio1 - gndio1 - d22 pt64a 1 vref1_1 t pt73a 1 vref1_1 t g20 pt63b 1 c pt72b 1 c j18 pt63a 1 t pt72a 1 t f20 pt62b 1 c pt71b 1 c vccio vccio1 1 vccio1 1 h19 pt62a 1 t pt71a 1 t a24 pt61b 1 c pt70b 1 c a23 pt61a 1 t pt70a 1 t e21 pt60b 1 c pt69b 1 c f19 pt60a 1 t pt69a 1 t c22 pt59b 1 c pt68b 1 c gnd gndio1 - gndio1 - e20 pt59a 1 t pt68a 1 t b22 pt58b 1 c pt67b 1 c vccio vccio1 1 vccio1 1 b23 pt58a 1 t pt67a 1 t c20 pt57b 1 c pt66b 1 c d20 pt57a 1 t pt66a 1 t a22 pt56b 1 c pt65b 1 c a21 pt56a 1 t pt65a 1 t gnd gndio1 - gndio1 - e19 nc - nc - c19 nc - nc - vccio vccio1 1 vccio1 1 b21 nc - nc - b20 nc - nc - d19 nc - nc - lfe2-20e/se and lfe2-35e/se logic signal connections: 672 fpbga lfe2-20e/20se lfe2-35e/35se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-84 pinout information lattice semiconductor latticeecp2/m family data sheet b19 nc - nc - gnd gndio1 - gndio1 - g17 nc - nc - e18 nc - nc - g19 nc - nc - f17 nc - nc - vccio vccio1 1 vccio1 1 a20 nc - nc - a19 nc - nc - e17 nc - nc - d18 nc - nc - b18 pt55b 1 c pt55b 1 c gnd gndio1 - gndio1 - a18 pt55a 1 t pt55a 1 t e16 pt54b 1 c pt54b 1 c g16 pt54a 1 t pt54a 1 t f16 pt53b 1 c pt53b 1 c vccio vccio1 1 vccio1 1 h18 pt53a 1 t pt53a 1 t a17 pt52b 1 c pt52b 1 c b17 pt52a 1 t pt52a 1 t c18 pt51b 1 c pt51b 1 c b16 pt51a 1 t pt51a 1 t c17 pt50b 1 c pt50b 1 c gnd gndio1 - gndio1 - d17 pt50a 1 t pt50a 1 t e15 pt49b 1 c pt49b 1 c vccio vccio1 1 vccio1 1 g15 pt49a 1 t pt49a 1 t a16 pt48b 1 c pt48b 1 c b15 pt48a 1 t pt48a 1 t d15 pt47b 1 c pt47b 1 c f15 pt47a 1 t pt47a 1 t a14 pt46b 1 c pt46b 1 c b14 pt46a 1 t pt46a 1 t gnd gndio1 - gndio1 - c15 pt45b 1 c pt45b 1 c a15 pt45a 1 t pt45a 1 t a13 pt44b 1 c pt44b 1 c b13 pt44a 1 t pt44a 1 t vccio vccio1 1 vccio1 1 h17 pt43b 1 c pt43b 1 c h15 pt43a 1 t pt43a 1 t d13 pt42b 1 c pt42b 1 c c14 pt42a 1 t pt42a 1 t gnd gndio1 - gndio1 - g14 pt41b 1 c pt41b 1 c lfe2-20e/se and lfe2-35e/se logic signal connections: 672 fpbga lfe2-20e/20se lfe2-35e/35se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-85 pinout information lattice semiconductor latticeecp2/m family data sheet e14 pt41a 1 t pt41a 1 t a12 pt40b 1 c pt40b 1 c b12 pt40a 1 t pt40a 1 t vccio vccio1 1 vccio1 1 f14 pt39b 1 pclkc1_0 c pt39b 1 pclkc1_0 c d14 pt39a 1 pclkt1_0 t pt39a 1 pclkt1_0 t h16 xres 1 xres 1 h14 pt37b 0 pclkc0_0 c pt37b 0 pclkc0_0 c gnd gndio0 - gndio0 - h13 pt37a 0 pclkt0_0 t pt37a 0 pclkt0_0 t a11 pt36b 0 c pt36b 0 c b11 pt36a 0 t pt36a 0 t c13 pt35b 0 c pt35b 0 c vccio vccio0 0 vccio0 0 e13 pt35a 0 t pt35a 0 t d12 pt34b 0 c pt34b 0 c f13 pt34a 0 t pt34a 0 t a10 pt33b 0 c pt33b 0 c b10 pt33a 0 t pt33a 0 t c12 pt32b 0 c pt32b 0 c gnd gndio0 - gndio0 - c10 pt32a 0 t pt32a 0 t g13 pt31b 0 c pt31b 0 c vccio vccio0 0 vccio0 0 h12 pt31a 0 t pt31a 0 t a9 pt30b 0 c pt30b 0 c b9 pt30a 0 t pt30a 0 t e12 pt29b 0 c pt29b 0 c g12 pt29a 0 t pt29a 0 t a8 pt28b 0 c pt28b 0 c b8 pt28a 0 t pt28a 0 t gnd gndio0 - gndio0 - e11 pt27b 0 c pt27b 0 c c9 pt27a 0 t pt27a 0 t a7 pt26b 0 c pt26b 0 c b7 pt26a 0 t pt26a 0 t vccio vccio0 0 vccio0 0 f12 pt25b 0 c pt25b 0 c d10 pt25a 0 t pt25a 0 t h11 pt24b 0 c pt24b 0 c g11 pt24a 0 t pt24a 0 t gnd gndio0 - gndio0 - a6 pt23b 0 c pt23b 0 c b6 pt23a 0 t pt23a 0 t d8 pt22b 0 c pt22b 0 c c8 pt22a 0 t pt22a 0 t vccio vccio0 0 vccio0 0 lfe2-20e/se and lfe2-35e/se logic signal connections: 672 fpbga lfe2-20e/20se lfe2-35e/35se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-86 pinout information lattice semiconductor latticeecp2/m family data sheet f11 pt21b 0 c pt21b 0 c e10 pt21a 0 t pt21a 0 t e9 pt20b 0 c pt20b 0 c d9 pt20a 0 t pt20a 0 t g10 pt19b 0 c pt19b 0 c gnd gndio0 - gndio0 - h10 pt19a 0 t pt19a 0 t a5 pt18b 0 c pt18b 0 c b5 pt18a 0 t pt18a 0 t c7 pt17b 0 c pt17b 0 c vccio vccio0 0 vccio0 0 d7 pt17a 0 t pt17a 0 t e8 pt16b 0 c pt16b 0 c f10 pt16a 0 t pt16a 0 t f8 pt15b 0 c pt15b 0 c h9 pt15a 0 t pt15a 0 t c5 pt14b 0 c pt14b 0 c gnd gndio0 - gndio0 - d5 pt14a 0 t pt14a 0 t b4 pt13b 0 pt13b 0 vccio vccio0 0 vccio0 0 gnd gndio0 - gndio0 - vccio vccio0 0 vccio0 0 gnd gndio0 - gndio0 - vccio vccio0 0 vccio0 0 c4 pt10b 0 c pt10b 0 c gnd gndio0 - gndio0 - c3 pt10a 0 t pt10a 0 t a4 pt9b 0 c pt9b 0 c a3 pt9a 0 t pt9a 0 t b3 pt8b 0 c pt8b 0 c vccio vccio0 0 vccio0 0 b2 pt8a 0 t pt8a 0 t d4 pt7b 0 c pt7b 0 c d3 pt7a 0 t pt7a 0 t c2 pt6b 0 c pt6b 0 c c1 pt6a 0 t pt6a 0 t g8 pt5b 0 c pt5b 0 c gnd gndio0 - gndio0 - g7 pt5a 0 t pt5a 0 t e7 pt4b 0 c pt4b 0 c vccio vccio0 0 vccio0 0 f7 pt4a 0 t pt4a 0 t e6 pt3b 0 c pt3b 0 c e5 pt3a 0 t pt3a 0 t g6 pt2b 0 vref2_0 c pt2b 0 vref2_0 c g5 pt2a 0 vref1_0 t pt2a 0 vref1_0 t lfe2-20e/se and lfe2-35e/se logic signal connections: 672 fpbga lfe2-20e/20se lfe2-35e/35se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-87 pinout information lattice semiconductor latticeecp2/m family data sheet l12 vcc - vcc - l13 vcc - vcc - l14 vcc - vcc - l15 vcc - vcc - m11 vcc - vcc - m12 vcc - vcc - m15 vcc - vcc - m16 vcc - vcc - n11 vcc - vcc - n16 vcc - vcc - p11 vcc - vcc - p16 vcc - vcc - r11 vcc - vcc - r12 vcc - vcc - r15 vcc - vcc - r16 vcc - vcc - t12 vcc - vcc - t13 vcc - vcc - t14 vcc - vcc - t15 vcc - vcc - d11 vccio0 0 vccio0 0 d6 vccio0 0 vccio0 0 g9 vccio0 0 vccio0 0 k12 vccio0 0 vccio0 0 j12 vccio0 0 vccio0 0 d16 vccio1 1 vccio1 1 d21 vccio1 1 vccio1 1 g18 vccio1 1 vccio1 1 j15 vccio1 1 vccio1 1 k15 vccio1 1 vccio1 1 f23 vccio2 2 vccio2 2 j20 vccio2 2 vccio2 2 l23 vccio2 2 vccio2 2 m17 vccio2 2 vccio2 2 m18 vccio2 2 vccio2 2 aa23 vccio3 3 vccio3 3 r17 vccio3 3 vccio3 3 r18 vccio3 3 vccio3 3 t23 vccio3 3 vccio3 3 v20 vccio3 3 vccio3 3 ac16 vccio4 4 vccio4 4 ac21 vccio4 4 vccio4 4 u15 vccio4 4 vccio4 4 v15 vccio4 4 vccio4 4 y18 vccio4 4 vccio4 4 ac11 vccio5 5 vccio5 5 ac6 vccio5 5 vccio5 5 lfe2-20e/se and lfe2-35e/se logic signal connections: 672 fpbga lfe2-20e/20se lfe2-35e/35se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-88 pinout information lattice semiconductor latticeecp2/m family data sheet u12 vccio5 5 vccio5 5 v12 vccio5 5 vccio5 5 y9 vccio5 5 vccio5 5 aa4 vccio6 6 vccio6 6 r10 vccio6 6 vccio6 6 r9 vccio6 6 vccio6 6 t4 vccio6 6 vccio6 6 v7 vccio6 6 vccio6 6 f4 vccio7 7 vccio7 7 j7 vccio7 7 vccio7 7 l4 vccio7 7 vccio7 7 m10 vccio7 7 vccio7 7 m9 vccio7 7 vccio7 7 ae25 vccio8 8 vccio8 8 v18 vccio8 8 vccio8 8 j10 vccaux - vccaux - j11 vccaux - vccaux - j16 vccaux - vccaux - j17 vccaux - vccaux - k18 vccaux - vccaux - k9 vccaux - vccaux - l18 vccaux - vccaux - l9 vccaux - vccaux - t18 vccaux - vccaux - t9 vccaux - vccaux - u18 vccaux - vccaux - u9 vccaux - vccaux - v10 vccaux - vccaux - v11 vccaux - vccaux - v16 vccaux - vccaux - v17 vccaux - vccaux - a2 gnd - gnd - a25 gnd - gnd - aa18 gnd - gnd - aa24 gnd - gnd - aa3 gnd - gnd - aa9 gnd - gnd - ad11 gnd - gnd - ad16 gnd - gnd - ad21 gnd - gnd - ad6 gnd - gnd - ae1 gnd - gnd - ae26 gnd - gnd - af2 gnd - gnd - af25 gnd - gnd - b1 gnd - gnd - b26 gnd - gnd - lfe2-20e/se and lfe2-35e/se logic signal connections: 672 fpbga lfe2-20e/20se lfe2-35e/35se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-89 pinout information lattice semiconductor latticeecp2/m family data sheet c11 gnd - gnd - c16 gnd - gnd - c21 gnd - gnd - c6 gnd - gnd - f18 gnd - gnd - f24 gnd - gnd - f3 gnd - gnd - f9 gnd - gnd - j13 gnd - gnd - j14 gnd - gnd - j21 gnd - gnd - j6 gnd - gnd - k10 gnd - gnd - k11 gnd - gnd - k13 gnd - gnd - k14 gnd - gnd - k16 gnd - gnd - k17 gnd - gnd - l10 gnd - gnd - l11 gnd - gnd - l16 gnd - gnd - l17 gnd - gnd - l24 gnd - gnd - l3 gnd - gnd - m13 gnd - gnd - m14 gnd - gnd - n10 gnd - gnd - n12 gnd - gnd - n13 gnd - gnd - n14 gnd - gnd - n15 gnd - gnd - n17 gnd - gnd - p10 gnd - gnd - p12 gnd - gnd - p13 gnd - gnd - p14 gnd - gnd - p15 gnd - gnd - p17 gnd - gnd - r13 gnd - gnd - r14 gnd - gnd - t10 gnd - gnd - t11 gnd - gnd - t16 gnd - gnd - t17 gnd - gnd - t24 gnd - gnd - t3 gnd - gnd - u10 gnd - gnd - lfe2-20e/se and lfe2-35e/se logic signal connections: 672 fpbga lfe2-20e/20se lfe2-35e/35se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-90 pinout information lattice semiconductor latticeecp2/m family data sheet u11 gnd - gnd - u13 gnd - gnd - u14 gnd - gnd - u16 gnd - gnd - u17 gnd - gnd - v13 gnd - gnd - v14 gnd - gnd - v21 gnd - gnd - v6 gnd - gnd - m3 nc - nc - n6 nc - nc - p24 nc - nc - * supports true lvds. other differential signals must be emulated with external resistors. ** these dedicated input pins can be used for gplls or gdlls within the respective quadrant. ***due to packaging bond out option, this dqs does not have all the necessary dq pins bonded out for a full 8-bit data width. note: vccio and gnd pads are used to determine the average dc current drawn by i/os between gnd/vccio connections, or between t he last gnd/vccio in an i/o bank and the end of an i/o bank. the substrate pads listed in the pin table do not necessarily have a one to one connection with a package ball or pin. lfe2-20e/se and lfe2-35e/se logic signal connections: 672 fpbga lfe2-20e/20se lfe2-35e/35se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-91 pinout information lattice semiconductor latticeecp2/m family data sheet lfe2-50e/se and lfe2-70e/se logic signal connections: 672 fpbga lfe2-50e/se lfe2-70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential d2 pl2a 7 vref2_7 t (lvds)* pl2a 7 vref2_7 t (lvds)* d1 pl2b 7 vref1_7 c (lvds)* pl2b 7 vref1_7 c (lvds)* gnd gndio7 - gndio7 - f6 pl5a 7 ldq8 t pl18a 7 ldq21 t f5 pl5b 7 ldq8 c pl18b 7 ldq21 c vccio vccio7 7 vccio7 7 e4 pl6a 7 ldq8 t (lvds)* pl19a 7 ldq21 t (lvds)* e3 pl6b 7 ldq8 c (lvds)* pl19b 7 ldq21 c (lvds)* e2 pl7a 7 ldq8 t pl20a 7 ldq21 t e1 pl7b 7 ldq8 c pl20b 7 ldq21 c gnd gndio7 - gndio7 - h6 pl8a 7 ldqs8 t (lvds)* pl21a 7 ldqs21 t (lvds)* h5 pl8b 7 ldq8 c (lvds)* pl21b 7 ldq21 c (lvds)* f2 pl9a 7 ldq8 t pl22a 7 ldq21 t vccio vccio7 7 vccio7 7 f1 pl9b 7 ldq8 c pl22b 7 ldq21 c h8 pl10a 7 ldq8 t (lvds)* pl23a 7 ldq21 t (lvds)* j9 pl10b 7 ldq8 c (lvds)* pl23b 7 ldq21 c (lvds)* g4 pl11a 7 ldq8 t pl24a 7 ldq21 t gnd gndio7 - gndio7 - g3 pl11b 7 ldq8 c pl24b 7 ldq21 c h7 pl12a 7 ldq16 t (lvds)* pl25a 7 ldq29 t (lvds)* j8 pl12b 7 ldq16 c (lvds)* pl25b 7 ldq29 c (lvds)* g2 pl13a 7 ldq16 t pl26a 7 ldq29 t g1 pl13b 7 ldq16 c pl26b 7 ldq29 c h3 pl14a 7 ldq16 t (lvds)* pl27a 7 ldq29 t (lvds)* vccio vccio7 7 vccio7 7 h4 pl14b 7 ldq16 c (lvds)* pl27b 7 ldq29 c (lvds)* j5 pl15a 7 ldq16 t pl28a 7 ldq29 t j4 pl15b 7 ldq16 c pl28b 7 ldq29 c j3 pl16a 7 ldqs16 t (lvds)* pl29a 7 ldqs29 t (lvds)* gnd gndio7 - gndio7 - k4 pl16b 7 ldq16 c (lvds)* pl29b 7 ldq29 c (lvds)* h1 pl17a 7 ldq16 t pl30a 7 ldq29 t h2 pl17b 7 ldq16 c pl30b 7 ldq29 c vccio vccio7 7 vccio7 7 k6 pl18a 7 ldq16 t (lvds)* pl31a 7 ldq29 t (lvds)* k7 pl18b 7 ldq16 c (lvds)* pl31b 7 ldq29 c (lvds)* j1 pl19a 7 ldq16 t pl32a 7 ldq29 t j2 pl19b 7 ldq16 c pl32b 7 ldq29 c gnd gndio7 - gndio7 - vccio vccio7 7 vccio7 7 k3 pl23a 7 ldq24 t pl36a 7 ldq37 t k2 pl23b 7 ldq24 c pl36b 7 ldq37 c gnd gndio7 - gndio7 - k1 pl24a 7 ldqs24*** t (lvds)* pl37a 7 ldqs37*** t (lvds)* l2 pl24b 7 ldq24 c (lvds)* pl37b 7 ldq37 c (lvds)*
4-92 pinout information lattice semiconductor latticeecp2/m family data sheet l1 pl25a 7 lum0_spllt_in_a/ldq24 t pl38a 7 lum0_spllt_in_a/ldq37 t vccio vccio7 7 vccio7 7 m2 pl25b 7 lum0_spllc_in_a/ldq24 c pl38b 7 lum0_spllc_in_a/ldq37 c m1 pl26a 7 lum0_spllt_fb_a/ldq24 t pl39a 7 lum0_spllt_fb_a/ldq37 t n2 pl26b 7 lum0_spllc_fb_a/ldq24 c pl39b 7 lum0_spllc_fb_a/ldq37 c gnd gndio7 - gndio7 - m8 vccpll 7 nc - vccio vccio7 7 vccio7 7 gnd gndio7 - gndio7 - n1 pl37a 7 ldq41 pl50a 7 ldq54 l8 pl38a 7 ldq41 t pl51a 7 ldq54 t k8 pl38b 7 ldq41 c pl51b 7 ldq54 c vccio vccio7 7 vccio7 7 l6 pl39a 7 ldq41 t (lvds)* pl52a 7 ldq54 t (lvds)* k5 pl39b 7 ldq41 c (lvds)* pl52b 7 ldq54 c (lvds)* l7 pl40a 7 ldq41 t pl53a 7 ldq54 t l5 pl40b 7 ldq41 c pl53b 7 ldq54 c gnd gndio7 - gndio7 - p1 pl41a 7 ldqs41 t (lvds)* pl54a 7 ldqs54 t (lvds)* p2 pl41b 7 ldq41 c (lvds)* pl54b 7 ldq54 c (lvds)* m6 pl42a 7 ldq41 t pl55a 7 ldq54 t vccio vccio7 7 vccio7 7 n8 pl42b 7 ldq41 c pl55b 7 ldq54 c r1 pl43a 7 ldq41 t (lvds)* pl56a 7 ldq54 t (lvds)* r2 pl43b 7 ldq41 c (lvds)* pl56b 7 ldq54 c (lvds)* m7 pl44a 7 pclkt7_0/ldq41 t pl57a 7 pclkt7_0/ldq54 t gnd gndio7 - gndio7 - n9 pl44b 7 pclkc7_0/ldq41 c pl57b 7 pclkc7_0/ldq54 c m4 pl46a 6 pclkt6_0/ldq50 t (lvds)* pl59a 6 pclkt6_0/ldq63 t (lvds)* m5 pl46b 6 pclkc6_0/ldq50 c (lvds)* pl59b 6 pclkc6_0/ldq63 c (lvds)* n7 pl47a 6 vref2_6/ldq50 t pl60a 6 vref2_6/ldq63 t p9 pl47b 6 vref1_6/ldq50 c pl60b 6 vref1_6/ldq63 c n3 pl48a 6 ldq50 t (lvds)* pl61a 6 ldq63 t (lvds)* vccio vccio6 6 vccio6 6 n4 pl48b 6 ldq50 c (lvds)* pl61b 6 ldq63 c (lvds)* n5 pl49a 6 ldq50 t pl62a 6 ldq63 t p7 pl49b 6 ldq50 c pl62b 6 ldq63 c t1 pl50a 6 ldqs50 t (lvds)* pl63a 6 ldqs63 t (lvds)* gnd gndio6 - gndio6 - t2 pl50b 6 ldq50 c (lvds)* pl63b 6 ldq63 c (lvds)* p8 pl51a 6 ldq50 t pl64a 6 ldq63 t p6 pl51b 6 ldq50 c pl64b 6 ldq63 c vccio vccio6 6 vccio6 6 p5 pl52a 6 ldq50 t (lvds)* pl65a 6 ldq63 t (lvds)* p4 pl52b 6 ldq50 c (lvds)* pl65b 6 ldq63 c (lvds)* u1 pl53a 6 ldq50 t pl66a 6 ldq63 t v1 pl53b 6 ldq50 c pl66b 6 ldq63 c lfe2-50e/se and lfe2-70e/se logic signal connections: 672 fpbga lfe2-50e/se lfe2-70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-93 pinout information lattice semiconductor latticeecp2/m family data sheet gnd gndio6 - gndio6 - p3 pl54a 6 ldq58 t (lvds)* pl67a 6 ldq71 t (lvds)* r3 pl54b 6 ldq58 c (lvds)* pl67b 6 ldq71 c (lvds)* r4 pl55a 6 ldq58 t pl68a 6 ldq71 t u2 pl55b 6 ldq58 c pl68b 6 ldq71 c vccio vccio6 6 vccio6 6 v2 pl56a 6 ldq58 t (lvds)* pl69a 6 ldq71 t (lvds)* w 2 pl56b 6 ldq58 c (lvds)* pl69b 6 ldq71 c (lvds)* t6 pl57a 6 ldq58 t pl70a 6 ldq71 t r5 pl57b 6 ldq58 c pl70b 6 ldq71 c gnd gndio6 - gndio6 - r6 pl58a 6 ldqs58 t (lvds)* pl71a 6 ldqs71 t (lvds)* r7 pl58b 6 ldq58 c (lvds)* pl71b 6 ldq71 c (lvds)* w 1 pl59a 6 ldq58 t pl72a 6 ldq71 t vccio vccio6 6 vccio6 6 y2 pl59b 6 ldq58 c pl72b 6 ldq71 c y1 pl60a 6 llm0_gdllt_in_a**/ldq58 t (lvds)* pl73a 6 llm0_gdllt_in_a**/ldq71 t (lvds)* aa2 pl60b 6 llm0_gdllc_in_a**/ldq58 c (lvds)* pl73b 6 llm0_gdllc_in_a**/ldq71 c (lvds)* t5 pl61a 6 llm0_gdllt_fb_a/ldq58 t pl74a 6 llm0_gdllt_fb_a/ldq71 t gnd gndio6 - gndio6 - t7 pl61b 6 llm0_gdllc_fb_d/ldq58 c pl74b 6 llm0_gdllc_fb_d/ldq71 c r8 vccpll 6 vccpll - t8 llm0_pllcap 6 llm0_pllcap 6 u3 pl63a 6 llm0_gpllt_in_a**/ldq67 t (lvds)* pl76a 6 llm0_gpllt_in_a**/ldq80 t (lvds)* u4 pl63b 6 llm0_gpllc_in_a**/ldq67 c (lvds)* pl76b 6 llm0_gpllc_in_a**/ldq80 c (lvds)* v3 pl64a 6 llm0_gpllt_fb_a/ldq67 t pl77a 6 llm0_gpllt_fb_a/ldq80 t u5 pl64b 6 llm0_gpllc_fb_a/ldq67 c pl77b 6 llm0_gpllc_fb_a/ldq80 c v4 pl65a 6 ldq67 t (lvds)* pl78a 6 ldq80 t (lvds)* vccio vccio6 6 vccio6 6 v5 pl65b 6 ldq67 c (lvds)* pl78b 6 ldq80 c (lvds)* y3 pl66a 6 ldq67 t pl79a 6 ldq80 t y4 pl66b 6 ldq67 c pl79b 6 ldq80 c w 3 pl67a 6 ldqs67 t (lvds)* pl80a 6 ldqs80 t (lvds)* gnd gndio6 - gndio6 - w 4 pl67b 6 ldq67 c (lvds)* pl80b 6 ldq80 c (lvds)* aa1 pl68a 6 ldq67 t pl81a 6 ldq80 t ab1 pl68b 6 ldq67 c pl81b 6 ldq80 c vccio vccio6 6 vccio6 6 u8 pl69a 6 ldq67 t (lvds)* pl82a 6 ldq80 t (lvds)* u7 pl69b 6 ldq67 c (lvds)* pl82b 6 ldq80 c (lvds)* v8 pl70a 6 ldq67 t pl83a 6 ldq80 t u6 pl70b 6 ldq67 c pl83b 6 ldq80 c gnd gndio6 - gndio6 - w 6 pl71a 6 ldq75 t (lvds)* pl84a 6 ldq88 t (lvds)* w 5 pl71b 6 ldq75 c (lvds)* pl84b 6 ldq88 c (lvds)* ac1 pl72a 6 ldq75 t pl85a 6 ldq88 t ad1 pl72b 6 ldq75 c pl85b 6 ldq88 c lfe2-50e/se and lfe2-70e/se logic signal connections: 672 fpbga lfe2-50e/se lfe2-70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-94 pinout information lattice semiconductor latticeecp2/m family data sheet vccio vccio6 6 vccio6 6 y6 pl73a 6 ldq75 t (lvds)* pl86a 6 ldq88 t (lvds)* y5 pl73b 6 ldq75 c (lvds)* pl86b 6 ldq88 c (lvds)* ae2 pl74a 6 ldq75 t pl87a 6 ldq88 t ad2 pl74b 6 ldq75 c pl87b 6 ldq88 c gnd gndio6 - gndio6 - ab3 pl75a 6 ldqs75 t (lvds)* pl88a 6 ldqs88 t (lvds)* ab2 pl75b 6 ldq75 c (lvds)* pl88b 6 ldq88 c (lvds)* w 7 pl76a 6 ldq75 t pl89a 6 ldq88 t vccio vccio6 6 vccio6 6 w 8 pl76b 6 ldq75 c pl89b 6 ldq88 c y7 pl77a 6 ldq75 t (lvds)* pl90a 6 ldq88 t (lvds)* y8 pl77b 6 ldq75 c (lvds)* pl90b 6 ldq88 c (lvds)* ac2 pl78a 6 ldq75 t pl91a 6 ldq88 t gnd gndio6 - gndio6 - ad3 pl78b 6 ldq75 c pl91b 6 ldq88 c ac3 tck - tck - aa8 tdi - tdi - ab4 tms - tms - aa5 tdo - tdo - ab5 vccj - vccj - ae3 pb2a 5 vref2_5/bdq6 t pb2a 5 vref2_5/bdq6 t af3 pb2b 5 vref1_5/bdq6 c pb2b 5 vref1_5/bdq6 c ac4 pb3a 5 bdq6 t pb3a 5 bdq6 t ad4 pb3b 5 bdq6 c pb3b 5 bdq6 c ae4 pb4a 5 bdq6 t pb4a 5 bdq6 t af4 pb4b 5 bdq6 c pb4b 5 bdq6 c vccio vccio5 5 vccio5 5 v9 pb5a 5 bdq6 t pb5a 5 bdq6 t w 9 pb5b 5 bdq6 c pb5b 5 bdq6 c gnd gndio5 - gndio5 - aa6 pb6a 5 bdqs6 t pb6a 5 bdqs6 t ab6 pb6b 5 bdq6 c pb6b 5 bdq6 c ac5 pb7a 5 bdq6 t pb7a 5 bdq6 t ad5 pb7b 5 bdq6 c pb7b 5 bdq6 c aa7 pb8a 5 bdq6 t pb8a 5 bdq6 t ab7 pb8b 5 bdq6 c pb8b 5 bdq6 c vccio vccio5 5 vccio5 5 ae5 pb9a 5 bdq6 t pb9a 5 bdq6 t af5 pb9b 5 bdq6 c pb9b 5 bdq6 c ac7 pb10a 5 bdq6 t pb10a 5 bdq6 t ad7 pb10b 5 bdq6 c pb10b 5 bdq6 c vccio vccio5 5 vccio5 5 gnd gndio5 - gndio5 - w 10 pb20a 5 bdq24 t pb29a 5 bdq33 t y10 pb20b 5 bdq24 c pb29b 5 bdq33 c w 11 pb21a 5 bdq24 t pb30a 5 bdq33 t lfe2-50e/se and lfe2-70e/se logic signal connections: 672 fpbga lfe2-50e/se lfe2-70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-95 pinout information lattice semiconductor latticeecp2/m family data sheet aa10 pb21b 5 bdq24 c pb30b 5 bdq33 c ac8 pb22a 5 bdq24 t pb31a 5 bdq33 t ad8 pb22b 5 bdq24 c pb31b 5 bdq33 c vccio vccio5 5 vccio5 5 ab8 pb23a 5 bdq24 t pb32a 5 bdq33 t ab10 pb23b 5 bdq24 c pb32b 5 bdq33 c gnd gndio5 - gndio5 - ae6 pb24a 5 bdqs24 t pb33a 5 bdqs33 t af6 pb24b 5 bdq24 c pb33b 5 bdq33 c aa11 pb25a 5 bdq24 t pb34a 5 bdq33 t ac9 pb25b 5 bdq24 c pb34b 5 bdq33 c ab9 pb26a 5 bdq24 t pb35a 5 bdq33 t ad9 pb26b 5 bdq24 c pb35b 5 bdq33 c vccio vccio5 5 vccio5 5 y11 pb27a 5 bdq24 t pb36a 5 bdq33 t ab11 pb27b 5 bdq24 c pb36b 5 bdq33 c ae7 pb28a 5 bdq24 t pb37a 5 bdq33 t af7 pb28b 5 bdq24 c pb37b 5 bdq33 c gnd gndio5 - gndio5 - ac10 pb29a 5 bdq33 t pb38a 5 bdq42 t ad10 pb29b 5 bdq33 c pb38b 5 bdq42 c aa12 pb30a 5 bdq33 t pb39a 5 bdq42 t w 12 pb30b 5 bdq33 c pb39b 5 bdq42 c ab12 pb31a 5 bdq33 t pb40a 5 bdq42 t vccio vccio5 5 vccio5 5 y12 pb31b 5 bdq33 c pb40b 5 bdq42 c ad12 pb32a 5 bdq33 t pb41a 5 bdq42 t ac12 pb32b 5 bdq33 c pb41b 5 bdq42 c ac13 pb33a 5 bdqs33 t pb42a 5 bdqs42 t gnd gndio5 - gndio5 - aa13 pb33b 5 bdq33 c pb42b 5 bdq42 c ad13 pb34a 5 bdq33 t pb43a 5 bdq42 t ac14 pb34b 5 bdq33 c pb43b 5 bdq42 c ae8 pb35a 5 bdq33 t pb44a 5 bdq42 t vccio vccio5 5 vccio5 5 af8 pb35b 5 bdq33 c pb44b 5 bdq42 c ab15 pb36a 5 bdq33 t pb45a 5 bdq42 t y13 pb36b 5 bdq33 c pb45b 5 bdq42 c ae9 pb37a 5 bdq33 t pb46a 5 bdq42 t gnd gndio5 - gndio5 - af9 pb37b 5 bdq33 c pb46b 5 bdq42 c w 13 pb38a 5 bdq42 t pb47a 5 bdq51 t aa14 pb38b 5 bdq42 c pb47b 5 bdq51 c ae10 pb39a 5 bdq42 t pb48a 5 bdq51 t af10 pb39b 5 bdq42 c pb48b 5 bdq51 c w 14 pb40a 5 bdq42 t pb49a 5 bdq51 t ab13 pb40b 5 bdq42 c pb49b 5 bdq51 c lfe2-50e/se and lfe2-70e/se logic signal connections: 672 fpbga lfe2-50e/se lfe2-70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-96 pinout information lattice semiconductor latticeecp2/m family data sheet vccio vccio5 5 vccio5 5 y14 pb41a 5 bdq42 t pb50a 5 bdq51 t ab14 pb41b 5 bdq42 c pb50b 5 bdq51 c gnd gndio5 - gndio5 - ae11 pb42a 5 bdqs42 t pb51a 5 bdqs51 t af11 pb42b 5 bdq42 c pb51b 5 bdq51 c ad14 pb43a 5 bdq42 t pb52a 5 bdq51 t aa15 pb43b 5 bdq42 c pb52b 5 bdq51 c ae12 pb44a 5 pclkt5_0/bdq42 t pb53a 5 pclkt5_0/bdq51 t af12 pb44b 5 pclkc5_0/bdq42 c pb53b 5 pclkc5_0/bdq51 c vccio vccio5 5 vccio5 5 gnd gndio5 - gndio5 - ad15 pb49a 4 pclkt4_0/bdq51 t pb58a 4 pclkt4_0/bdq60 t vccio vccio4 4 vccio4 4 ac15 pb49b 4 pclkc4_0/bdq51 c pb58b 4 pclkc4_0/bdq60 c ae13 pb50a 4 bdq51 t pb59a 4 bdq60 t af13 pb50b 4 bdq51 c pb59b 4 bdq60 c ab17 pb51a 4 bdqs51 t pb60a 4 bdqs60 t gnd gndio4 - gndio4 - y15 pb51b 4 bdq51 c pb60b 4 bdq60 c ae14 pb52a 4 bdq51 t pb61a 4 bdq60 t af14 pb52b 4 bdq51 c pb61b 4 bdq60 c aa16 pb53a 4 bdq51 t pb62a 4 bdq60 t vccio vccio4 4 vccio4 4 w 15 pb53b 4 bdq51 c pb62b 4 bdq60 c ac17 pb54a 4 bdq51 t pb63a 4 bdq60 t ab16 pb54b 4 bdq51 c pb63b 4 bdq60 c ae15 pb55a 4 bdq51 t pb64a 4 bdq60 t gnd gndio4 - gndio4 - af15 pb55b 4 bdq51 c pb64b 4 bdq60 c ae16 pb56a 4 bdq60 t pb65a 4 bdq69 t af16 pb56b 4 bdq60 c pb65b 4 bdq69 c y16 pb57a 4 bdq60 t pb66a 4 bdq69 t ab18 pb57b 4 bdq60 c pb66b 4 bdq69 c ad17 pb58a 4 bdq60 t pb67a 4 bdq69 t ad18 pb58b 4 bdq60 c pb67b 4 bdq69 c vccio vccio4 4 vccio4 4 ac18 pb59a 4 bdq60 t pb68a 4 bdq69 t ad19 pb59b 4 bdq60 c pb68b 4 bdq69 c gnd gndio4 - gndio4 - ac19 pb60a 4 bdqs60 t pb69a 4 bdqs69 t ae17 pb60b 4 bdq60 c pb69b 4 bdq69 c ab19 pb61a 4 bdq60 t pb70a 4 bdq69 t ae19 pb61b 4 bdq60 c pb70b 4 bdq69 c af17 pb62a 4 bdq60 t pb71a 4 bdq69 t ae18 pb62b 4 bdq60 c pb71b 4 bdq69 c vccio vccio4 4 vccio4 4 lfe2-50e/se and lfe2-70e/se logic signal connections: 672 fpbga lfe2-50e/se lfe2-70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-97 pinout information lattice semiconductor latticeecp2/m family data sheet w 16 pb63a 4 bdq60 t pb72a 4 bdq69 t aa17 pb63b 4 bdq60 c pb72b 4 bdq69 c af18 pb64a 4 bdq60 t pb73a 4 bdq69 t af19 pb64b 4 bdq60 c pb73b 4 bdq69 c gnd gndio4 - gndio4 - aa19 pb65a 4 bdq69 t pb74a 4 bdq78 t w 17 pb65b 4 bdq69 c pb74b 4 bdq78 c y19 pb66a 4 bdq69 t pb75a 4 bdq78 t y17 pb66b 4 bdq69 c pb75b 4 bdq78 c af20 pb67a 4 bdq69 t pb76a 4 bdq78 t vccio vccio4 4 vccio4 4 ae20 pb67b 4 bdq69 c pb76b 4 bdq78 c aa20 pb68a 4 bdq69 t pb77a 4 bdq78 t w 18 pb68b 4 bdq69 c pb77b 4 bdq78 c ad20 pb69a 4 bdqs69 t pb78a 4 bdqs78 t gnd gndio4 - gndio4 - ae21 pb69b 4 bdq69 c pb78b 4 bdq78 c af21 pb70a 4 bdq69 t pb79a 4 bdq78 t af22 pb70b 4 bdq69 c pb79b 4 bdq78 c vccio vccio4 4 vccio4 4 gnd gndio4 - gndio4 - ae22 pb74a 4 bdq78 t pb92a 4 bdq96 t ad22 pb74b 4 bdq78 c pb92b 4 bdq96 c af23 pb75a 4 bdq78 t pb93a 4 bdq96 t ae23 pb75b 4 bdq78 c pb93b 4 bdq96 c ad23 pb76a 4 bdq78 t pb94a 4 bdq96 t ac23 pb76b 4 bdq78 c pb94b 4 bdq96 c vccio vccio4 4 vccio4 4 ab20 pb77a 4 bdq78 t pb95a 4 bdq96 t ac20 pb77b 4 bdq78 c pb95b 4 bdq96 c gnd gndio4 - gndio4 - ab21 pb78a 4 bdqs78 t pb96a 4 bdqs96 t ac22 pb78b 4 bdq78 c pb96b 4 bdq96 c w 19 pb79a 4 bdq78 t pb97a 4 bdq96 t aa21 pb79b 4 bdq78 c pb97b 4 bdq96 c af24 pb80a 4 bdq78 t pb98a 4 bdq96 t ae24 pb80b 4 bdq78 c pb98b 4 bdq96 c vccio vccio4 4 vccio4 4 y20 pb81a 4 bdq78 t pb99a 4 bdq96 t ab22 pb81b 4 bdq78 c pb99b 4 bdq96 c y21 pb82a 4 vref2_4/bdq78 t pb100a 4 vref2_4/bdq96 t ab23 pb82b 4 vref1_4/bdq78 c pb100b 4 vref1_4/bdq96 c gnd gndio4 - gndio4 - ad24 cfg2 8 cfg2 8 w 20 cfg1 8 cfg1 8 ac24 cfg0 8 cfg0 8 v19 programn 8 programn 8 lfe2-50e/se and lfe2-70e/se logic signal connections: 672 fpbga lfe2-50e/se lfe2-70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-98 pinout information lattice semiconductor latticeecp2/m family data sheet aa22 cclk 8 cclk 8 ab24 initn 8 initn 8 ad25 done 8 done 8 gnd gndio8 - gndio8 - w 21 pr77b 8 w riten c pr90b 8 w riten c y22 pr77a 8 cs1n t pr90a 8 cs1n t ac25 pr76b 8 csn c pr89b 8 csn c ab25 pr76a 8 d0/spifastn t pr89a 8 d0/spifastn t vccio vccio8 8 vccio8 8 ad26 pr75b 8 d1 c pr88b 8 d1 c ac26 pr75a 8 d2 t pr88a 8 d2 t y23 pr74b 8 d3 c pr87b 8 d3 c gnd gndio8 - gndio8 - w 22 pr74a 8 d4 t pr87a 8 d4 t aa25 pr73b 8 d5 c pr86b 8 d5 c ab26 pr73a 8 d6 t pr86a 8 d6 t w 23 pr72b 8 d7 c pr85b 8 d7 c vccio vccio8 8 vccio8 8 v22 pr72a 8 di/csspi0n t pr85a 8 di/csspi0n t y24 pr71b 8 dout/cson c pr84b 8 dout/cson c y25 pr71a 8 busy/sispi t pr84a 8 busy/sispi t w 24 pr70b 3 rdq67 c pr83b 3 rdq80 c gnd gndio3 - gndio3 - v23 pr70a 3 rdq67 t pr83a 3 rdq80 t aa26 pr69b 3 rdq67 c (lvds)* pr82b 3 rdq80 c (lvds)* y26 pr69a 3 rdq67 t (lvds)* pr82a 3 rdq80 t (lvds)* u21 pr68b 3 rdq67 c pr81b 3 rdq80 c vccio vccio3 3 vccio3 3 u19 pr68a 3 rdq67 t pr81a 3 rdq80 t w 25 pr67b 3 rdq67 c (lvds)* pr80b 3 rdq80 c (lvds)* w 26 pr67a 3 rdqs67 t (lvds)* pr80a 3 rdqs80 t (lvds)* gnd gndio3 - gndio3 - v24 pr66b 3 rdq67 c pr79b 3 rdq80 c v25 pr66a 3 rdq67 t pr79a 3 rdq80 t v26 pr65b 3 rdq67 c (lvds)* pr78b 3 rdq80 c (lvds)* u26 pr65a 3 rdq67 t (lvds)* pr78a 3 rdq80 t (lvds)* vccio vccio3 3 vccio3 3 u22 pr64b 3 rlm0_gpllc_fb_a/rdq67 c pr77b 3 rlm0_gpllc_fb_a/rdq80 c u23 pr64a 3 rlm0_gpllt_fb_a/rdq67 t pr77a 3 rlm0_gpllt_fb_a/rdq80 t u24 pr63b 3 rlm0_gpllc_in_a**/rdq67 c (lvds)* pr76b 3 rlm0_gpllc_in_a**/rdq80 c (lvds)* u25 pr63a 3 rlm0_gpllt_in_a**/rdq67 t (lvds)* pr76a 3 rlm0_gpllt_in_a**/rdq80 t (lvds)* r20 rlm0_pllcap 3 rlm0_pllcap 3 p18 vccpll 3 vccpll - t19 pr61b 3 rlm0_gdllc_fb_a/rdq58 c pr74b 3 rlm0_gdllc_fb_a/rdq71 c u20 pr61a 3 rlm0_gdllt_fb_a/rdq58 t pr74a 3 rlm0_gdllt_fb_a/rdq71 t gnd gndio3 - gndio3 - t25 pr60b 3 rlm0_gdllc_in_a**/rdq58 c (lvds)* pr73b 3 rlm0_gdllc_in_a**/rdq71 c (lvds)* lfe2-50e/se and lfe2-70e/se logic signal connections: 672 fpbga lfe2-50e/se lfe2-70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-99 pinout information lattice semiconductor latticeecp2/m family data sheet t26 pr60a 3 rlm0_gdllt_in_a**/rdq58 t (lvds)* pr73a 3 rlm0_gdllt_in_a**/rdq71 t (lvds)* t20 pr59b 3 rdq58 c pr72b 3 rdq71 c t22 pr59a 3 rdq58 t pr72a 3 rdq71 t vccio vccio3 3 vccio3 3 r26 pr58b 3 rdq58 c (lvds)* pr71b 3 rdq71 c (lvds)* r25 pr58a 3 rdqs58 t (lvds)* pr71a 3 rdqs71 t (lvds)* r22 pr57b 3 rdq58 c pr70b 3 rdq71 c gnd gndio3 - gndio3 - t21 pr57a 3 rdq58 t pr70a 3 rdq71 t p26 pr56b 3 rdq58 c (lvds)* pr69b 3 rdq71 c (lvds)* p25 pr56a 3 rdq58 t (lvds)* pr69a 3 rdq71 t (lvds)* r24 pr55b 3 rdq58 c pr68b 3 rdq71 c vccio vccio3 3 vccio3 3 r23 pr55a 3 rdq58 t pr68a 3 rdq71 t p20 pr54b 3 rdq58 c (lvds)* pr67b 3 rdq71 c (lvds)* r19 pr54a 3 rdq58 t (lvds)* pr67a 3 rdq71 t (lvds)* p21 pr53b 3 rdq50 c pr66b 3 rdq63 c gnd gndio3 - gndio3 - p19 pr53a 3 rdq50 t pr66a 3 rdq63 t p23 pr52b 3 rdq50 c (lvds)* pr65b 3 rdq63 c (lvds)* p22 pr52a 3 rdq50 t (lvds)* pr65a 3 rdq63 t (lvds)* n22 pr51b 3 rdq50 c pr64b 3 rdq63 c vccio vccio3 3 vccio3 3 r21 pr51a 3 rdq50 t pr64a 3 rdq63 t n26 pr50b 3 rdq50 c (lvds)* pr63b 3 rdq63 c (lvds)* n25 pr50a 3 rdqs50 t (lvds)* pr63a 3 rdqs63 t (lvds)* gnd gndio3 - gndio3 - n19 pr49b 3 rdq50 c pr62b 3 rdq63 c n20 pr49a 3 rdq50 t pr62a 3 rdq63 t m26 pr48b 3 rdq50 c (lvds)* pr61b 3 rdq63 c (lvds)* m25 pr48a 3 rdq50 t (lvds)* pr61a 3 rdq63 t (lvds)* vccio vccio3 3 vccio3 3 n18 pr47b 3 vref2_3/rdq50 c pr60b 3 vref2_3/rdq63 c n21 pr47a 3 vref1_3/rdq50 t pr60a 3 vref1_3/rdq63 t l26 pr46b 3 pclkc3_0/rdq50 c (lvds)* pr59b 3 pclkc3_0/rdq63 c (lvds)* l25 pr46a 3 pclkt3_0/rdq50 t (lvds)* pr59a 3 pclkt3_0/rdq63 t (lvds)* n24 pr44b 2 pclkc2_0/rdq41 c pr57b 2 pclkc2_0/rdq54 c m23 pr44a 2 pclkt2_0/rdq41 t pr57a 2 pclkt2_0/rdq54 t gnd gndio2 - gndio2 - l21 pr43b 2 rdq41 c (lvds)* pr56b 2 rdq54 c (lvds)* k22 pr43a 2 rdq41 t (lvds)* pr56a 2 rdq54 t (lvds)* m24 pr42b 2 rdq41 c pr55b 2 rdq54 c n23 pr42a 2 rdq41 t pr55a 2 rdq54 t vccio vccio2 2 vccio2 2 k26 pr41b 2 rdq41 c (lvds)* pr54b 2 rdq54 c (lvds)* k25 pr41a 2 rdqs41 t (lvds)* pr54a 2 rdqs54 t (lvds)* m20 pr40b 2 rdq41 c pr53b 2 rdq54 c lfe2-50e/se and lfe2-70e/se logic signal connections: 672 fpbga lfe2-50e/se lfe2-70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-100 pinout information lattice semiconductor latticeecp2/m family data sheet gnd gndio2 - gndio2 - m19 pr40a 2 rdq41 t pr53a 2 rdq54 t l22 pr39b 2 rdq41 c (lvds)* pr52b 2 rdq54 c (lvds)* m22 pr39a 2 rdq41 t (lvds)* pr52a 2 rdq54 t (lvds)* k21 pr38b 2 rdq41 c pr51b 2 rdq54 c vccio vccio2 2 vccio2 2 m21 pr38a 2 rdq41 t pr51a 2 rdq54 t k24 pr37b 2 rdq41 c (lvds)* pr50b 2 rdq54 c (lvds)* j24 pr37a 2 rdq41 t (lvds)* pr50a 2 rdq54 t (lvds)* gnd gndio2 - gndio2 - vccio vccio2 2 vccio2 2 l20 vccpll 2 nc - gnd gndio2 - gndio2 - j26 pr26b 2 rum0_spllc_fb_a/rdq24 c pr39b 2 rum0_spllc_fb_a/rdq37 c j25 pr26a 2 rum0_spllt_fb_a/rdq24 t pr39a 2 rum0_spllt_fb_a/rdq37 t j23 pr25b 2 rum0_spllc_in_a/rdq24 c pr38b 2 rum0_spllc_in_a/rdq37 c k23 pr25a 2 rum0_spllt_in_a/rdq24 t pr38a 2 rum0_spllt_in_a/rdq37 t vccio vccio2 2 vccio2 2 h26 pr24b 2 rdq24 c (lvds)* pr37b 2 rdq37 c (lvds)* h25 pr24a 2 rdqs24*** t (lvds)* pr37a 2 rdqs37*** t (lvds)* h24 pr23b 2 rdq24 c pr36b 2 rdq37 c gnd gndio2 - gndio2 - h23 pr23a 2 rdq24 t pr36a 2 rdq37 t vccio vccio2 2 vccio2 2 g26 pr19b 2 rdq16 c pr32b 2 rdq29 c gnd gndio2 - gndio2 - g25 pr19a 2 rdq16 t pr32a 2 rdq29 t f26 pr18b 2 rdq16 c (lvds)* pr31b 2 rdq29 c (lvds)* f25 pr18a 2 rdq16 t (lvds)* pr31a 2 rdq29 t (lvds)* k20 pr17b 2 rdq16 c pr30b 2 rdq29 c vccio vccio2 2 vccio2 2 l19 pr17a 2 rdq16 t pr30a 2 rdq29 t e26 pr16b 2 rdq16 c (lvds)* pr29b 2 rdq29 c (lvds)* e25 pr16a 2 rdqs16 t (lvds)* pr29a 2 rdqs29 t (lvds)* gnd gndio2 - gndio2 - j22 pr15b 2 rdq16 c pr28b 2 rdq29 c h22 pr15a 2 rdq16 t pr28a 2 rdq29 t g24 pr14b 2 rdq16 c (lvds)* pr27b 2 rdq29 c (lvds)* g23 pr14a 2 rdq16 t (lvds)* pr27a 2 rdq29 t (lvds)* vccio vccio2 2 vccio2 2 k19 pr13b 2 rdq16 c pr26b 2 rdq29 c j19 pr13a 2 rdq16 t pr26a 2 rdq29 t d26 pr12b 2 rdq16 c (lvds)* pr25b 2 rdq29 c (lvds)* c26 pr12a 2 rdq16 t (lvds)* pr25a 2 rdq29 t (lvds)* f22 pr11b 2 rdq8 c pr24b 2 rdq21 c e24 pr11a 2 rdq8 t pr24a 2 rdq21 t gnd gndio2 - gndio2 - lfe2-50e/se and lfe2-70e/se logic signal connections: 672 fpbga lfe2-50e/se lfe2-70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-101 pinout information lattice semiconductor latticeecp2/m family data sheet d25 pr10b 2 rdq8 c (lvds)* pr23b 2 rdq21 c (lvds)* c25 pr10a 2 rdq8 t (lvds)* pr23a 2 rdq21 t (lvds)* d24 pr9b 2 rdq8 c pr22b 2 rdq21 c b25 pr9a 2 rdq8 t pr22a 2 rdq21 t vccio vccio2 2 vccio2 2 h21 pr8b 2 rdq8 c (lvds)* pr21b 2 rdq21 c (lvds)* g22 pr8a 2 rdqs8 t (lvds)* pr21a 2 rdqs21 t (lvds)* b24 pr7b 2 rdq8 c pr20b 2 rdq21 c gnd gndio2 - gndio2 - c24 pr7a 2 rdq8 t pr20a 2 rdq21 t d23 pr6b 2 rdq8 c (lvds)* pr19b 2 rdq21 c (lvds)* c23 pr6a 2 rdq8 t (lvds)* pr19a 2 rdq21 t (lvds)* g21 pr5b 2 rdq8 c pr18b 2 rdq21 c vccio vccio2 2 vccio2 2 h20 pr5a 2 rdq8 t pr18a 2 rdq21 t gnd gndio2 - gndio2 - e22 pr2b 2 vref2_2 c (lvds)* pr2b 2 vref2_2 c (lvds)* f21 pr2a 2 vref1_2 t (lvds)* pr2a 2 vref1_2 t (lvds)* e23 pt82b 1 vref2_1 c pt100b 1 vref2_1 c gnd gndio1 - gndio1 - d22 pt82a 1 vref1_1 t pt100a 1 vref1_1 t g20 pt81b 1 c pt99b 1 c j18 pt81a 1 t pt99a 1 t f20 pt80b 1 c pt98b 1 c vccio vccio1 1 vccio1 1 h19 pt80a 1 t pt98a 1 t a24 pt79b 1 c pt97b 1 c a23 pt79a 1 t pt97a 1 t e21 pt78b 1 c pt96b 1 c f19 pt78a 1 t pt96a 1 t c22 pt77b 1 c pt95b 1 c gnd gndio1 - gndio1 - e20 pt77a 1 t pt95a 1 t b22 pt76b 1 c pt94b 1 c vccio vccio1 1 vccio1 1 b23 pt76a 1 t pt94a 1 t c20 pt75b 1 c pt93b 1 c d20 pt75a 1 t pt93a 1 t a22 pt74b 1 c pt92b 1 c a21 pt74a 1 t pt92a 1 t gnd gndio1 - gndio1 - e19 pt71b 1 c pt85b 1 c c19 pt71a 1 t pt85a 1 t vccio vccio1 1 vccio1 1 b21 pt70b 1 c pt79b 1 c b20 pt70a 1 t pt79a 1 t d19 pt69b 1 c pt78b 1 c lfe2-50e/se and lfe2-70e/se logic signal connections: 672 fpbga lfe2-50e/se lfe2-70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-102 pinout information lattice semiconductor latticeecp2/m family data sheet b19 pt69a 1 t pt78a 1 t gnd gndio1 - gndio1 - g17 pt68b 1 c pt77b 1 c e18 pt68a 1 t pt77a 1 t g19 pt67b 1 c pt76b 1 c f17 pt67a 1 t pt76a 1 t vccio vccio1 1 vccio1 1 a20 pt66b 1 c pt75b 1 c a19 pt66a 1 t pt75a 1 t e17 pt65b 1 c pt74b 1 c d18 pt65a 1 t pt74a 1 t b18 pt64b 1 c pt73b 1 c gnd gndio1 - gndio1 - a18 pt64a 1 t pt73a 1 t e16 pt63b 1 c pt72b 1 c g16 pt63a 1 t pt72a 1 t f16 pt62b 1 c pt71b 1 c vccio vccio1 1 vccio1 1 h18 pt62a 1 t pt71a 1 t a17 pt61b 1 c pt70b 1 c b17 pt61a 1 t pt70a 1 t c18 pt60b 1 c pt69b 1 c b16 pt60a 1 t pt69a 1 t c17 pt59b 1 c pt68b 1 c gnd gndio1 - gndio1 - d17 pt59a 1 t pt68a 1 t e15 pt58b 1 c pt67b 1 c vccio vccio1 1 vccio1 1 g15 pt58a 1 t pt67a 1 t a16 pt57b 1 c pt66b 1 c b15 pt57a 1 t pt66a 1 t d15 pt56b 1 c pt65b 1 c f15 pt56a 1 t pt65a 1 t a14 pt55b 1 c pt64b 1 c b14 pt55a 1 t pt64a 1 t gnd gndio1 - gndio1 - c15 pt54b 1 c pt63b 1 c a15 pt54a 1 t pt63a 1 t a13 pt53b 1 c pt62b 1 c b13 pt53a 1 t pt62a 1 t vccio vccio1 1 vccio1 1 h17 pt52b 1 c pt61b 1 c h15 pt52a 1 t pt61a 1 t d13 pt51b 1 c pt60b 1 c c14 pt51a 1 t pt60a 1 t gnd gndio1 - gndio1 - g14 pt50b 1 c pt59b 1 c lfe2-50e/se and lfe2-70e/se logic signal connections: 672 fpbga lfe2-50e/se lfe2-70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-103 pinout information lattice semiconductor latticeecp2/m family data sheet e14 pt50a 1 t pt59a 1 t a12 pt49b 1 c pt58b 1 c b12 pt49a 1 t pt58a 1 t vccio vccio1 1 vccio1 1 f14 pt48b 1 pclkc1_0 c pt57b 1 pclkc1_0 c d14 pt48a 1 pclkt1_0 t pt57a 1 pclkt1_0 t h16 xres 1 xres 1 h14 pt46b 0 pclkc0_0 c pt55b 0 pclkc0_0 c gnd gndio0 - gndio0 - h13 pt46a 0 pclkt0_0 t pt55a 0 pclkt0_0 t a11 pt45b 0 c pt54b 0 c b11 pt45a 0 t pt54a 0 t c13 pt44b 0 c pt53b 0 c vccio vccio0 0 vccio0 0 e13 pt44a 0 t pt53a 0 t d12 pt43b 0 c pt52b 0 c f13 pt43a 0 t pt52a 0 t a10 pt42b 0 c pt51b 0 c b10 pt42a 0 t pt51a 0 t c12 pt41b 0 c pt50b 0 c gnd gndio0 - gndio0 - c10 pt41a 0 t pt50a 0 t g13 pt40b 0 c pt49b 0 c vccio vccio0 0 vccio0 0 h12 pt40a 0 t pt49a 0 t a9 pt39b 0 c pt48b 0 c b9 pt39a 0 t pt48a 0 t e12 pt38b 0 c pt47b 0 c g12 pt38a 0 t pt47a 0 t a8 pt37b 0 c pt46b 0 c b8 pt37a 0 t pt46a 0 t gnd gndio0 - gndio0 - e11 pt36b 0 c pt45b 0 c c9 pt36a 0 t pt45a 0 t a7 pt35b 0 c pt44b 0 c b7 pt35a 0 t pt44a 0 t vccio vccio0 0 vccio0 0 f12 pt34b 0 c pt43b 0 c d10 pt34a 0 t pt43a 0 t h11 pt33b 0 c pt42b 0 c g11 pt33a 0 t pt42a 0 t gnd gndio0 - gndio0 - a6 pt32b 0 c pt41b 0 c b6 pt32a 0 t pt41a 0 t d8 pt31b 0 c pt40b 0 c c8 pt31a 0 t pt40a 0 t vccio vccio0 0 vccio0 0 lfe2-50e/se and lfe2-70e/se logic signal connections: 672 fpbga lfe2-50e/se lfe2-70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-104 pinout information lattice semiconductor latticeecp2/m family data sheet f11 pt30b 0 c pt39b 0 c e10 pt30a 0 t pt39a 0 t e9 pt29b 0 c pt38b 0 c d9 pt29a 0 t pt38a 0 t g10 pt28b 0 c pt37b 0 c gnd gndio0 - gndio0 - h10 pt28a 0 t pt37a 0 t a5 pt27b 0 c pt36b 0 c b5 pt27a 0 t pt36a 0 t c7 pt26b 0 c pt35b 0 c vccio vccio0 0 vccio0 0 d7 pt26a 0 t pt35a 0 t e8 pt25b 0 c pt34b 0 c f10 pt25a 0 t pt34a 0 t f8 pt24b 0 c pt33b 0 c h9 pt24a 0 t pt33a 0 t c5 pt23b 0 c pt32b 0 c gnd gndio0 - gndio0 - d5 pt23a 0 t pt32a 0 t b4 pt22b 0 pt31b 0 vccio vccio0 0 vccio0 0 gnd gndio0 - gndio0 - vccio vccio0 0 vccio0 0 gnd gndio0 - gndio0 - vccio vccio0 0 vccio0 0 c4 pt10b 0 c pt10b 0 c gnd gndio0 - gndio0 - c3 pt10a 0 t pt10a 0 t a4 pt9b 0 c pt9b 0 c a3 pt9a 0 t pt9a 0 t b3 pt8b 0 c pt8b 0 c vccio vccio0 0 vccio0 0 b2 pt8a 0 t pt8a 0 t d4 pt7b 0 c pt7b 0 c d3 pt7a 0 t pt7a 0 t c2 pt6b 0 c pt6b 0 c c1 pt6a 0 t pt6a 0 t g8 pt5b 0 c pt5b 0 c gnd gndio0 - gndio0 - g7 pt5a 0 t pt5a 0 t e7 pt4b 0 c pt4b 0 c vccio vccio0 0 vccio0 0 f7 pt4a 0 t pt4a 0 t e6 pt3b 0 c pt3b 0 c e5 pt3a 0 t pt3a 0 t g6 pt2b 0 vref2_0 c pt2b 0 vref2_0 c g5 pt2a 0 vref1_0 t pt2a 0 vref1_0 t lfe2-50e/se and lfe2-70e/se logic signal connections: 672 fpbga lfe2-50e/se lfe2-70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-105 pinout information lattice semiconductor latticeecp2/m family data sheet l12 vcc - vcc - l13 vcc - vcc - l14 vcc - vcc - l15 vcc - vcc - m11 vcc - vcc - m12 vcc - vcc - m15 vcc - vcc - m16 vcc - vcc - n11 vcc - vcc - n16 vcc - vcc - p11 vcc - vcc - p16 vcc - vcc - r11 vcc - vcc - r12 vcc - vcc - r15 vcc - vcc - r16 vcc - vcc - t12 vcc - vcc - t13 vcc - vcc - t14 vcc - vcc - t15 vcc - vcc - d11 vccio0 0 vccio0 0 d6 vccio0 0 vccio0 0 g9 vccio0 0 vccio0 0 k12 vccio0 0 vccio0 0 j12 vccio0 0 vccio0 0 d16 vccio1 1 vccio1 1 d21 vccio1 1 vccio1 1 g18 vccio1 1 vccio1 1 j15 vccio1 1 vccio1 1 k15 vccio1 1 vccio1 1 f23 vccio2 2 vccio2 2 j20 vccio2 2 vccio2 2 l23 vccio2 2 vccio2 2 m17 vccio2 2 vccio2 2 m18 vccio2 2 vccio2 2 aa23 vccio3 3 vccio3 3 r17 vccio3 3 vccio3 3 r18 vccio3 3 vccio3 3 t23 vccio3 3 vccio3 3 v20 vccio3 3 vccio3 3 ac16 vccio4 4 vccio4 4 ac21 vccio4 4 vccio4 4 u15 vccio4 4 vccio4 4 v15 vccio4 4 vccio4 4 y18 vccio4 4 vccio4 4 ac11 vccio5 5 vccio5 5 ac6 vccio5 5 vccio5 5 lfe2-50e/se and lfe2-70e/se logic signal connections: 672 fpbga lfe2-50e/se lfe2-70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-106 pinout information lattice semiconductor latticeecp2/m family data sheet u12 vccio5 5 vccio5 5 v12 vccio5 5 vccio5 5 y9 vccio5 5 vccio5 5 aa4 vccio6 6 vccio6 6 r10 vccio6 6 vccio6 6 r9 vccio6 6 vccio6 6 t4 vccio6 6 vccio6 6 v7 vccio6 6 vccio6 6 f4 vccio7 7 vccio7 7 j7 vccio7 7 vccio7 7 l4 vccio7 7 vccio7 7 m10 vccio7 7 vccio7 7 m9 vccio7 7 vccio7 7 ae25 vccio8 8 vccio8 8 v18 vccio8 8 vccio8 8 j10 vccaux - vccaux - j11 vccaux - vccaux - j16 vccaux - vccaux - j17 vccaux - vccaux - k18 vccaux - vccaux - k9 vccaux - vccaux - l18 vccaux - vccaux - l9 vccaux - vccaux - t18 vccaux - vccaux - t9 vccaux - vccaux - u18 vccaux - vccaux - u9 vccaux - vccaux - v10 vccaux - vccaux - v11 vccaux - vccaux - v16 vccaux - vccaux - v17 vccaux - vccaux - a2 gnd - gnd - a25 gnd - gnd - aa18 gnd - gnd - aa24 gnd - gnd - aa3 gnd - gnd - aa9 gnd - gnd - ad11 gnd - gnd - ad16 gnd - gnd - ad21 gnd - gnd - ad6 gnd - gnd - ae1 gnd - gnd - ae26 gnd - gnd - af2 gnd - gnd - af25 gnd - gnd - b1 gnd - gnd - b26 gnd - gnd - lfe2-50e/se and lfe2-70e/se logic signal connections: 672 fpbga lfe2-50e/se lfe2-70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-107 pinout information lattice semiconductor latticeecp2/m family data sheet c11 gnd - gnd - c16 gnd - gnd - c21 gnd - gnd - c6 gnd - gnd - f18 gnd - gnd - f24 gnd - gnd - f3 gnd - gnd - f9 gnd - gnd - j13 gnd - gnd - j14 gnd - gnd - j21 gnd - gnd - j6 gnd - gnd - k10 gnd - gnd - k11 gnd - gnd - k13 gnd - gnd - k14 gnd - gnd - k16 gnd - gnd - k17 gnd - gnd - l10 gnd - gnd - l11 gnd - gnd - l16 gnd - gnd - l17 gnd - gnd - l24 gnd - gnd - l3 gnd - gnd - m13 gnd - gnd - m14 gnd - gnd - n10 gnd - gnd - n12 gnd - gnd - n13 gnd - gnd - n14 gnd - gnd - n15 gnd - gnd - n17 gnd - gnd - p10 gnd - gnd - p12 gnd - gnd - p13 gnd - gnd - p14 gnd - gnd - p15 gnd - gnd - p17 gnd - gnd - r13 gnd - gnd - r14 gnd - gnd - t10 gnd - gnd - t11 gnd - gnd - t16 gnd - gnd - t17 gnd - gnd - t24 gnd - gnd - t3 gnd - gnd - u10 gnd - gnd - lfe2-50e/se and lfe2-70e/se logic signal connections: 672 fpbga lfe2-50e/se lfe2-70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-108 pinout information lattice semiconductor latticeecp2/m family data sheet u11 gnd - gnd - u13 gnd - gnd - u14 gnd - gnd - u16 gnd - gnd - u17 gnd - gnd - v13 gnd - gnd - v14 gnd - gnd - v21 gnd - gnd - v6 gnd - gnd - m3 nc - nc - n6 nc - nc - p24 nc - nc - * supports true lvds. other differential signals must be emulated with external resistors. ** these dedicated input pins can be used for gplls or gdlls within the respective quadrant. ***due to packaging bond out option, this dqs does not have all the necessary dq pins bonded out for a full 8-bit data width. note: vccio and gnd pads are used to determine the average dc current drawn by i/os between gnd/vccio connections, or between t he last gnd/vccio in an i/o bank and the end of an i/o bank. the substrate pads listed in the pin table do not necessarily have a one to one connection with a package ball or pin. lfe2-50e/se and lfe2-70e/se logic signal connections: 672 fpbga lfe2-50e/se lfe2-70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-109 pinout information lattice semiconductor latticeecp2/m family data sheet lfe2-70e/se logic signal connections: 900 fpbga lfe2-70e/se ball number ball/pad function bank dual function differential vccio vccio7 7 f4 pl2a 7 vref2_7 t (lvds)* f3 pl2b 7 vref1_7 c (lvds)* h4 pl3a 7 t g5 pl3b 7 c gnd gndio7 - d2 pl4a 7 t (lvds)* d1 pl4b 7 c (lvds)* e2 pl5a 7 t vccio vccio7 7 e1 pl5b 7 c gnd gndio7 - vccio vccio7 7 f1 pl14a 7 lum1_spllt_in_a/ldq12 t (lvds)* f2 pl14b 7 lum1_spllc_in_a/ldq12 c (lvds)* g1 pl15a 7 lum1_spllt_fb_a/ldq12 t g2 pl15b 7 lum1_spllc_fb_a/ldq12 c gnd gndio7 - h8 pl18a 7 ldq21 t h6 pl18b 7 ldq21 c vccio vccio7 7 g4 pl19a 7 ldq21 t (lvds)* g3 pl19b 7 ldq21 c (lvds)* h7 pl20a 7 ldq21 t h5 pl20b 7 ldq21 c gnd gndio7 - h2 pl21a 7 ldqs21 t (lvds)* h1 pl21b 7 ldq21 c (lvds)* j6 pl22a 7 ldq21 t vccio vccio7 7 j8 pl22b 7 ldq21 c j2 pl23a 7 ldq21 t (lvds)* j1 pl23b 7 ldq21 c (lvds)* j5 pl24a 7 ldq21 t gnd gndio7 - j7 pl24b 7 ldq21 c j4 pl25a 7 ldq29 t (lvds)* j3 pl25b 7 ldq29 c (lvds)* k6 pl26a 7 ldq29 t k8 pl26b 7 ldq29 c vccio vccio7 7 k2 pl27a 7 ldq29 t (lvds)*
4-110 pinout information lattice semiconductor latticeecp2/m family data sheet k1 pl27b 7 ldq29 c (lvds)* k5 pl28a 7 ldq29 t k7 pl28b 7 ldq29 c gnd gndio7 - k4 pl29a 7 ldqs29 t (lvds)* k3 pl29b 7 ldq29 c (lvds)* l8 pl30a 7 ldq29 t vccio vccio7 7 l6 pl30b 7 ldq29 c l2 pl31a 7 ldq29 t (lvds)* l1 pl31b 7 ldq29 c (lvds)* l7 pl32a 7 ldq29 t gnd gndio7 - l5 pl32b 7 ldq29 c l4 pl33a 7 ldq37 t (lvds)* l3 pl33b 7 ldq37 c (lvds)* m8 pl34a 7 ldq37 t m6 pl34b 7 ldq37 c vccio vccio7 7 m2 pl35a 7 ldq37 t (lvds)* m1 pl35b 7 ldq37 c (lvds)* m7 pl36a 7 ldq37 t m5 pl36b 7 ldq37 c gnd gndio7 - m4 pl37a 7 ldqs37 t (lvds)* m3 pl37b 7 ldq37 c (lvds)* n6 pl38a 7 lum0_spllt_in_a/ldq37 t vccio vccio7 7 n8 pl38b 7 lum0_spllc_in_a/ldq37 c n5 pl39a 7 lum0_spllt_fb_a/ldq37 t n7 pl39b 7 lum0_spllc_fb_a/ldq37 c gnd gndio7 - vccio vccio7 7 t9 pl50a 7 ldq54 r9 pl51a 7 ldq54 t p7 pl51b 7 ldq54 c vccio vccio7 7 n2 pl52a 7 ldq54 t (lvds)* n1 pl52b 7 ldq54 c (lvds)* p6 pl53a 7 ldq54 t p5 pl53b 7 ldq54 c gnd gndio7 - p4 pl54a 7 ldqs54 t (lvds)* lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-111 pinout information lattice semiconductor latticeecp2/m family data sheet p3 pl54b 7 ldq54 c (lvds)* r6 pl55a 7 ldq54 t vccio vccio7 7 r8 pl55b 7 ldq54 c p2 pl56a 7 ldq54 t (lvds)* p1 pl56b 7 ldq54 c (lvds)* r5 pl57a 7 pclkt7_0/ldq54 t gnd gndio7 - r7 pl57b 7 pclkc7_0/ldq54 c r4 pl59a 6 pclkt6_0/ldq63 t (lvds)* r3 pl59b 6 pclkc6_0/ldq63 c (lvds)* t5 pl60a 6 vref2_6/ldq63 t t7 pl60b 6 vref1_6/ldq63 c t3 pl61a 6 ldq63 t (lvds)* vccio vccio6 6 t4 pl61b 6 ldq63 c (lvds)* t6 pl62a 6 ldq63 t t8 pl62b 6 ldq63 c t2 pl63a 6 ldqs63 t (lvds)* gnd gndio6 - t1 pl63b 6 ldq63 c (lvds)* u7 pl64a 6 ldq63 t u5 pl64b 6 ldq63 c vccio vccio6 6 u4 pl65a 6 ldq63 t (lvds)* u3 pl65b 6 ldq63 c (lvds)* u8 pl66a 6 ldq63 t u6 pl66b 6 ldq63 c gnd gndio6 - u2 pl67a 6 ldq71 t (lvds)* u1 pl67b 6 ldq71 c (lvds)* v7 pl68a 6 ldq71 t v5 pl68b 6 ldq71 c vccio vccio6 6 v2 pl69a 6 ldq71 t (lvds)* v1 pl69b 6 ldq71 c (lvds)* v8 pl70a 6 ldq71 t v6 pl70b 6 ldq71 c gnd gndio6 - w 1 pl71a 6 ldqs71 t (lvds)* w 2 pl71b 6 ldq71 c (lvds)* w 5 pl72a 6 ldq71 t vccio vccio6 6 lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-112 pinout information lattice semiconductor latticeecp2/m family data sheet w 7 pl72b 6 ldq71 c w 4 pl73a 6 llm0_gdllt_in_a**/ldq71 t (lvds)* w 3 pl73b 6 llm0_gdllc_in_a**/ldq71 c (lvds)* w 6 pl74a 6 llm0_gdllt_fb_a/ldq71 t gnd gndio6 - w 8 pl74b 6 llm0_gdllc_fb_d/ldq71 c y8 llm0_pllcap 6 y1 pl76a 6 llm0_gpllt_in_a**/ldq80 t (lvds)* y2 pl76b 6 llm0_gpllc_in_a**/ldq80 c (lvds)* y5 pl77a 6 llm0_gpllt_fb_a/ldq80 t y6 pl77b 6 llm0_gpllc_fb_a/ldq80 c y4 pl78a 6 ldq80 t (lvds)* vccio vccio6 6 y3 pl78b 6 ldq80 c (lvds)* aa6 pl79a 6 ldq80 t aa8 pl79b 6 ldq80 c aa2 pl80a 6 ldqs80 t (lvds)* gnd gndio6 - aa1 pl80b 6 ldq80 c (lvds)* aa7 pl81a 6 ldq80 t aa5 pl81b 6 ldq80 c vccio vccio6 6 aa4 pl82a 6 ldq80 t (lvds)* aa3 pl82b 6 ldq80 c (lvds)* ab7 pl83a 6 ldq80 t ab5 pl83b 6 ldq80 c gnd gndio6 - ab2 pl84a 6 ldq88 t (lvds)* ab1 pl84b 6 ldq88 c (lvds)* ab8 pl85a 6 ldq88 t ab6 pl85b 6 ldq88 c vccio vccio6 6 ab4 pl86a 6 ldq88 t (lvds)* ab3 pl86b 6 ldq88 c (lvds)* ac7 pl87a 6 ldq88 t ac5 pl87b 6 ldq88 c gnd gndio6 - ac2 pl88a 6 ldqs88 t (lvds)* ac1 pl88b 6 ldq88 c (lvds)* ac6 pl89a 6 ldq88 t vccio vccio6 6 ad6 pl89b 6 ldq88 c ad1 pl90a 6 ldq88 t (lvds)* lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-113 pinout information lattice semiconductor latticeecp2/m family data sheet ad2 pl90b 6 ldq88 c (lvds)* ad7 pl91a 6 ldq88 t gnd gndio6 - ab9 pl91b 6 ldq88 c ad5 tck - ae7 tdi - ad4 tms - aa9 tdo - ad3 vccj - ac8 pb2a 5 vref2_5/bdq6 t ae8 pb2b 5 vref1_5/bdq6 c ad8 pb3a 5 bdq6 t af8 pb3b 5 bdq6 c ag7 pb4a 5 bdq6 t vccio vccio5 5 ah7 pb4b 5 bdq6 c ac9 pb5a 5 bdq6 t ae9 pb5b 5 bdq6 c ad9 pb6a 5 bdqs6 t gnd gndio5 - af9 pb6b 5 bdq6 c ab10 pb7a 5 bdq6 t aa10 pb7b 5 bdq6 c aj7 pb8a 5 bdq6 t vccio vccio5 5 ak7 pb8b 5 bdq6 c ac10 pb9a 5 bdq6 t ae10 pb9b 5 bdq6 c aj8 pb10a 5 bdq6 t gnd gndio5 - ak8 pb10b 5 bdq6 c af6 pb11a 5 bdq15 t af7 pb11b 5 bdq15 c ag5 pb12a 5 bdq15 t ah5 pb12b 5 bdq15 c ag6 pb13a 5 bdq15 t ah6 pb13b 5 bdq15 c vccio vccio5 5 aj4 pb14a 5 bdq15 t ak4 pb14b 5 bdq15 c gnd gndio5 - aj5 pb15a 5 bdqs15 t ak5 pb15b 5 bdq15 c lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-114 pinout information lattice semiconductor latticeecp2/m family data sheet aj6 pb16a 5 bdq15 t ak6 pb16b 5 bdq15 c vccio vccio5 5 gnd gndio5 - ad10 pb29a 5 bdq33 t af10 pb29b 5 bdq33 c ac11 pb30a 5 bdq33 t ad11 pb30b 5 bdq33 c ag9 pb31a 5 bdq33 t ah9 pb31b 5 bdq33 c vccio vccio5 99 ae11 pb32a 5 bdq33 t ag10 pb32b 5 bdq33 c gnd gndio5 - aj9 pb33a 5 bdqs33 t ak9 pb33b 5 bdq33 c af11 pb34a 5 bdq33 t ah10 pb34b 5 bdq33 c ac12 pb35a 5 bdq33 t ae12 pb35b 5 bdq33 c vccio vccio5 5 ad12 pb36a 5 bdq33 t af12 pb36b 5 bdq33 c aj10 pb37a 5 bdq33 t ak10 pb37b 5 bdq33 c gnd gndio5 - ag11 pb38a 5 bdq42 t ah11 pb38b 5 bdq42 c ae13 pb39a 5 bdq42 t ac13 pb39b 5 bdq42 c af13 pb40a 5 bdq42 t vccio vccio5 5 ad13 pb40b 5 bdq42 c aj11 pb41a 5 bdq42 t ak11 pb41b 5 bdq42 c ad14 pb42a 5 bdqs42 t gnd gndio5 - ac14 pb42b 5 bdq42 c ag12 pb43a 5 bdq42 t ae14 pb43b 5 bdq42 c aj12 pb44a 5 bdq42 t vccio vccio5 5 ak12 pb44b 5 bdq42 c lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-115 pinout information lattice semiconductor latticeecp2/m family data sheet ah12 pb45a 5 bdq42 t af14 pb45b 5 bdq42 c aj13 pb46a 5 bdq42 t gnd gndio5 - ak13 pb46b 5 bdq42 c ab15 pb47a 5 bdq51 t ad15 pb47b 5 bdq51 c ae15 pb48a 5 bdq51 t af15 pb48b 5 bdq51 c ag15 pb49a 5 bdq51 t ag14 pb49b 5 bdq51 c vccio vccio5 5 ah15 pb50a 5 bdq51 t ah14 pb50b 5 bdq51 c gnd gndio5 - aj14 pb51a 5 bdqs51 t ak14 pb51b 5 bdq51 c ad16 pb52a 5 bdq51 t af16 pb52b 5 bdq51 c aj15 pb53a 5 pclkt5_0/bdq51 t ak15 pb53b 5 pclkc5_0/bdq51 c vccio vccio5 5 gnd gndio5 - ae16 pb58a 4 pclkt4_0/bdq60 t vccio vccio4 4 ac15 pb58b 4 pclkc4_0/bdq60 c aj16 pb59a 4 bdq60 t ak16 pb59b 4 bdq60 c ac16 pb60a 4 bdqs60 t gnd gndio4 - ab16 pb60b 4 bdq60 c ah17 pb61a 4 bdq60 t ag17 pb61b 4 bdq60 c af17 pb62a 4 bdq60 t vccio vccio4 4 ad17 pb62b 4 bdq60 c ae17 pb63a 4 bdq60 t ac17 pb63b 4 bdq60 c aj17 pb64a 4 bdq60 t gnd gndio4 - ak17 pb64b 4 bdq60 c ak18 pb65a 4 bdq69 t aj18 pb65b 4 bdq69 c lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-116 pinout information lattice semiconductor latticeecp2/m family data sheet ad18 pb66a 4 bdq69 t af18 pb66b 4 bdq69 c ac18 pb67a 4 bdq69 t ae18 pb67b 4 bdq69 c vccio vccio4 4 ag19 pb68a 4 bdq69 t ah19 pb68b 4 bdq69 c gnd gndio4 - ae19 pb69a 4 bdqs69 t af19 pb69b 4 bdq69 c ac19 pb70a 4 bdq69 t ad19 pb70b 4 bdq69 c aj19 pb71a 4 bdq69 t ak19 pb71b 4 bdq69 c vccio vccio4 4 af20 pb72a 4 bdq69 t ah20 pb72b 4 bdq69 c ae20 pb73a 4 bdq69 t ag20 pb73b 4 bdq69 c gnd gndio4 - ad20 pb74a 4 bdq78 t ac20 pb74b 4 bdq78 c ah21 pb75a 4 bdq78 t af21 pb75b 4 bdq78 c aj20 pb76a 4 bdq78 t vccio vccio4 4 ak20 pb76b 4 bdq78 c ag21 pb77a 4 bdq78 t ae21 pb77b 4 bdq78 c ad21 pb78a 4 bdqs78 t gnd gndio4 - ac21 pb78b 4 bdq78 c ad22 pb79a 4 bdq78 t ab21 pb79b 4 bdq78 c aj21 pb80a 4 bdq78 t vccio vccio4 4 ak21 pb80b 4 bdq78 c gnd gndio4 - vccio vccio4 4 aj25 pb87a 4 bdqs87*** t ak24 pb87b 4 bdq87 c aj24 pb88a 4 bdq87 t ak25 pb88b 4 bdq87 c lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-117 pinout information lattice semiconductor latticeecp2/m family data sheet ah24 pb89a 4 bdq87 t ah25 pb89b 4 bdq87 c vccio vccio4 4 aj26 pb90a 4 bdq87 t ak26 pb90b 4 bdq87 c af25 pb91a 4 bdq87 t ag25 pb91b 4 bdq87 c gnd gndio4 - ak22 pb92a 4 bdq96 t aj22 pb92b 4 bdq96 c ae22 pb93a 4 bdq96 t af22 pb93b 4 bdq96 c ag22 pb94a 4 bdq96 t vccio vccio4 4 ah22 pb94b 4 bdq96 c ag24 pb95a 4 bdq96 t ag23 pb95b 4 bdq96 c ae23 pb96a 4 bdqs96 gnd gndio4 - ac22 pb97a 4 bdq96 aj23 pb98a 4 bdq96 t vccio vccio4 4 ak23 pb98b 4 bdq96 c ad24 pb99a 4 bdq96 t af24 pb99b 4 bdq96 c ac23 pb100a 4 vref2_4/bdq96 t gnd gndio4 - ae24 pb100b 4 vref1_4/bdq96 c ae25 cfg2 8 ab22 cfg1 8 ae26 cfg0 8 aa22 programn 8 ad25 cclk 8 ad26 initn 8 ac24 done 8 gnd gndio4 - ac25 pr90b 8 w riten c ae27 pr90a 8 cs1n t ac26 pr89b 8 csn c ae28 pr89a 8 d0/spifastn t vccio vccio8 8 ad27 pr88b 8 d1 c ad28 pr88a 8 d2 t lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-118 pinout information lattice semiconductor latticeecp2/m family data sheet ab24 pr87b 8 d3 c gnd gndio4 - ab23 pr87a 8 d4 t ab25 pr86b 8 d5 c ab26 pr86a 8 d6 t ac27 pr85b 8 d7 c vccio vccio8 8 ab27 pr85a 8 di/csspi0n t ad29 pr84b 8 dout/cson c ad30 pr84a 8 busy/sispi t aa25 pr83b 3 rdq80 c gnd gndio3 - aa23 pr83a 3 rdq80 t ac29 pr82b 3 rdq80 c (lvds)* ac30 pr82a 3 rdq80 t (lvds)* aa26 pr81b 3 rdq80 c vccio vccio3 3 aa24 pr81a 3 rdq80 t ab29 pr80b 3 rdq80 c (lvds)* ab30 pr80a 3 rdqs80 t (lvds)* gnd gndio3 - y23 pr79b 3 rdq80 c y25 pr79a 3 rdq80 t aa27 pr78b 3 rdq80 c (lvds)* aa28 pr78a 3 rdq80 t (lvds)* vccio vccio3 3 y24 pr77b 3 rlm0_gpllc_fb_a/rdq80 c y26 pr77a 3 rlm0_gpllt_fb_a/rdq80 t aa29 pr76b 3 rlm0_gpllc_in_a**/rdq80 c (lvds)* aa30 pr76a 3 rlm0_gpllt_in_a**/rdq80 t (lvds)* r22 rlm0_pllcap 3 w 23 pr74b 3 rlm0_gdllc_fb_a/rdq71 c w 25 pr74a 3 rlm0_gdllt_fb_a/rdq71 t gnd gndio3 - y27 pr73b 3 rlm0_gdllc_in_a**/rdq71 c (lvds)* y28 pr73a 3 rlm0_gdllt_in_a**/rdq71 t (lvds)* w 24 pr72b 3 rdq71 c w 26 pr72a 3 rdq71 t vccio vccio3 3 y29 pr71b 3 rdq71 c (lvds)* y30 pr71a 3 rdqs71 t (lvds)* v25 pr70b 3 rdq71 c gnd gndio3 - lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-119 pinout information lattice semiconductor latticeecp2/m family data sheet v23 pr70a 3 rdq71 t w 27 pr69b 3 rdq71 c (lvds)* w 28 pr69a 3 rdq71 t (lvds)* v26 pr68b 3 rdq71 c vccio vccio3 3 v24 pr68a 3 rdq71 t w 29 pr67b 3 rdq71 c (lvds)* w 30 pr67a 3 rdq71 t (lvds)* u25 pr66b 3 rdq63 c gnd gndio3 - u23 pr66a 3 rdq63 t v29 pr65b 3 rdq63 c (lvds)* v30 pr65a 3 rdq63 t (lvds)* u26 pr64b 3 rdq63 c vccio vccio3 3 u24 pr64a 3 rdq63 t u27 pr63b 3 rdq63 c (lvds)* u28 pr63a 3 rdqs63 t (lvds)* gnd gndio3 - t23 pr62b 3 rdq63 c t25 pr62a 3 rdq63 t u29 pr61b 3 rdq63 c (lvds)* u30 pr61a 3 rdq63 t (lvds)* vccio vccio3 3 t24 pr60b 3 vref2_3/rdq63 c t26 pr60a 3 vref1_3/rdq63 t t27 pr59b 3 pclkc3_0/rdq63 c (lvds)* t28 pr59a 3 pclkt3_0/rdq63 t (lvds)* r24 pr57b 2 pclkc2_0/rdq54 c r26 pr57a 2 pclkt2_0/rdq54 t gnd gndio2 - t29 pr56b 2 rdq54 c (lvds)* t30 pr56a 2 rdq54 t (lvds)* r23 pr55b 2 rdq54 c r25 pr55a 2 rdq54 t vccio vccio2 2 r27 pr54b 2 rdq54 c (lvds)* r28 pr54a 2 rdqs54 t (lvds)* p26 pr53b 2 rdq54 c gnd gndio2 - p24 pr53a 2 rdq54 t r29 pr52b 2 rdq54 c (lvds)* r30 pr52a 2 rdq54 t (lvds)* lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-120 pinout information lattice semiconductor latticeecp2/m family data sheet p25 pr51b 2 rdq54 c vccio vccio2 2 p23 pr51a 2 rdq54 t p27 pr50b 2 rdq54 c (lvds)* p28 pr50a 2 rdq54 t (lvds)* gnd gndio2 - vccio vccio2 2 n24 pr39b 2 rum0_spllc_fb_a/rdq37 c n26 pr39a 2 rum0_spllt_fb_a/rdq37 t n23 pr38b 2 rum0_spllc_in_a/rdq37 c n25 pr38a 2 rum0_spllt_in_a/rdq37 t vccio vccio2 2 p29 pr37b 2 rdq37 c (lvds)* p30 pr37a 2 rdqs37 t (lvds)* m26 pr36b 2 rdq37 c gnd gndio2 - m24 pr36a 2 rdq37 t n29 pr35b 2 rdq37 c (lvds)* n30 pr35a 2 rdq37 t (lvds)* m25 pr34b 2 rdq37 c vccio vccio2 2 m23 pr34a 2 rdq37 t m27 pr33b 2 rdq37 c (lvds)* m28 pr33a 2 rdq37 t (lvds)* l26 pr32b 2 rdq29 c gnd gndio2 - l24 pr32a 2 rdq29 t m29 pr31b 2 rdq29 c (lvds)* m30 pr31a 2 rdq29 t (lvds)* l25 pr30b 2 rdq29 c vccio vccio2 2 l23 pr30a 2 rdq29 t l27 pr29b 2 rdq29 c (lvds)* l28 pr29a 2 rdqs29 t (lvds)* gnd gndio2 - k24 pr28b 2 rdq29 c k26 pr28a 2 rdq29 t l29 pr27b 2 rdq29 c (lvds)* l30 pr27a 2 rdq29 t (lvds)* vccio vccio2 2 k23 pr26b 2 rdq29 c k25 pr26a 2 rdq29 t k27 pr25b 2 rdq29 c (lvds)* lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-121 pinout information lattice semiconductor latticeecp2/m family data sheet k28 pr25a 2 rdq29 t (lvds)* j24 pr24b 2 rdq21 c j26 pr24a 2 rdq21 t gnd gndio2 - k29 pr23b 2 rdq21 c (lvds)* k30 pr23a 2 rdq21 t (lvds)* j23 pr22b 2 rdq21 c j25 pr22a 2 rdq21 t vccio vccio2 99 j27 pr21b 2 rdq21 c (lvds)* j28 pr21a 2 rdqs21 t (lvds)* h26 pr20b 2 rdq21 c gnd gndio2 - h24 pr20a 2 rdq21 t j29 pr19b 2 rdq21 c (lvds)* j30 pr19a 2 rdq21 t (lvds)* h25 pr18b 2 rdq21 c vccio vccio2 2 h23 pr18a 2 rdq21 t g27 pr15b 2 rum1_spllc_fb_a/rdq12 c gnd gndio2 - h27 pr15a 2 rum1_spllt_fb_a/rdq12 t g29 pr14b 2 rum1_spllc_in_a/rdq12 c (lvds)* g28 pr14a 2 rum1_spllt_in_a/rdq12 t (lvds)* vccio vccio2 2 gnd gndio2 - g26 pr6b 2 c (lvds)* g25 pr6a 2 t (lvds)* g30 pr5b 2 c f30 pr5a 2 t vccio vccio2 2 f26 pr4b 2 c (lvds)* f27 pr4a 2 t (lvds)* f29 pr3b 2 c gnd gndio2 - f28 pr3a 2 t h29 pr2b 2 vref2_2 c (lvds)* h30 pr2a 2 vref1_2 t (lvds)* vccio vccio2 2 b26 pt100b 1 vref2_1 c a26 pt100a 1 vref1_1 t gnd gndio1 - c25 pt99b 1 c lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-122 pinout information lattice semiconductor latticeecp2/m family data sheet d25 pt99a 1 t j22 pt98b 1 c j21 pt98a 1 t vccio vccio1 1 b25 pt97b 1 c a25 pt97a 1 t e24 pt96b 1 c f24 pt96a 1 t gnd gndio1 - f23 pt95b 1 c h22 pt95a 1 t d24 pt94b 1 c c24 pt94a 1 t vccio vccio1 1 e23 pt93b 1 c g23 pt93a 1 t b24 pt92b 1 c a24 pt92a 1 t c27 pt91b 1 c gnd gndio1 - d27 pt91a 1 t c26 pt90b 1 c d26 pt90a 1 t a27 pt89b 1 c vccio vccio1 1 b27 pt89a 1 t a28 pt88b 1 c b28 pt88a 1 t a29 pt87b 1 c b29 pt87a 1 t gnd gndio1 - vccio vccio1 1 h21 pt80b 1 c f22 pt80a 1 t vccio vccio1 1 b23 pt79b 1 c a23 pt79a 1 t g24 pt78b 1 c e22 pt78a 1 t gnd gndio1 - d22 pt77b 1 c c22 pt77a 1 t g22 pt76b 1 c lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-123 pinout information lattice semiconductor latticeecp2/m family data sheet e21 pt76a 1 t vccio vccio1 1 b22 pt75b 1 c a22 pt75a 1 t h20 pt74b 1 c f21 pt74a 1 t f20 pt73b 1 c gnd gndio1 - h19 pt73a 1 t d21 pt72b 1 c c21 pt72a 1 t e20 pt71b 1 c vccio vccio1 1 g21 pt71a 1 t b21 pt70b 1 c a21 pt70a 1 t f19 pt69b 1 c g20 pt69a 1 t e19 pt68b 1 c gnd gndio1 - g19 pt68a 1 t d20 pt67b 1 c vccio vccio1 1 c20 pt67a 1 t b20 pt66b 1 c a20 pt66a 1 t f18 pt65b 1 c h18 pt65a 1 t d19 pt64b 1 c c19 pt64a 1 t gnd gndio1 - g18 pt63b 1 c e18 pt63a 1 t h17 pt62b 1 c f17 pt62a 1 t vccio vccio1 1 g17 pt61b 1 c e17 pt61a 1 t b19 pt60b 1 c a19 pt60a 1 t gnd gndio1 - d17 pt59b 1 c b18 pt59a 1 t lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-124 pinout information lattice semiconductor latticeecp2/m family data sheet c17 pt58b 1 c a18 pt58a 1 t vccio vccio1 1 h16 pt57b 1 pclkc1_0 c f16 pt57a 1 pclkt1_0 t k16 xres 1 e16 pt55b 0 pclkc0_0 c gnd gndio0 - g16 pt55a 0 pclkt0_0 t b17 pt54b 0 c a17 pt54a 0 t j15 pt53b 0 c vccio vccio0 0 j16 pt53a 0 t c16 pt52b 0 c d16 pt52a 0 t f15 pt51b 0 c h15 pt51a 0 t e15 pt50b 0 c gnd gndio0 - g15 pt50a 0 t c15 pt49b 0 c vccio vccio0 0 d15 pt49a 0 t b16 pt48b 0 c a16 pt48a 0 t e14 pt47b 0 c g14 pt47a 0 t b15 pt46b 0 c a15 pt46a 0 t gnd gndio0 - h14 pt45b 0 c f14 pt45a 0 t d14 pt44b 0 c c14 pt44a 0 t vccio vccio0 0 g13 pt43b 0 c e13 pt43a 0 t b14 pt42b 0 c a14 pt42a 0 t gnd gndio0 - h13 pt41b 0 c f13 pt41a 0 t lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-125 pinout information lattice semiconductor latticeecp2/m family data sheet g12 pt40b 0 c e12 pt40a 0 t vccio vccio0 0 b13 pt39b 0 c a13 pt39a 0 t h12 pt38b 0 c f12 pt38a 0 t c12 pt37b 0 c gnd gndio0 - d12 pt37a 0 t b12 pt36b 0 c a12 pt36a 0 t e11 pt35b 0 c vccio vccio0 0 g11 pt35a 0 t f11 pt34b 0 c h11 pt34a 0 t c11 pt33b 0 c d11 pt33a 0 t b11 pt32b 0 c gnd gndio0 - a11 pt32a 0 t e10 pt31b 0 c vccio vccio0 0 g10 pt31a 0 t f10 pt30b 0 c h10 pt30a 0 t d10 pt29b 0 c c10 pt29a 0 t gnd gndio0 - vccio vccio0 0 a7 pt16b 0 c b7 pt16a 0 t a6 pt15b 0 c b6 pt15a 0 t c7 pt14b 0 c gnd gndio0 - d7 pt14a 0 t d8 pt13b 0 c vccio vccio0 0 e7 pt13a 0 t c6 pt12b 0 c d6 pt12a 0 t lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-126 pinout information lattice semiconductor latticeecp2/m family data sheet c5 pt11b 0 c d5 pt11a 0 t e9 pt10b 0 c g9 pt10a 0 t gnd gndio0 - b10 pt9b 0 c a10 pt9a 0 t d9 pt8b 0 c c9 pt8a 0 t vccio vccio0 0 f9 pt7b 0 c h9 pt7a 0 t b9 pt6b 0 c a9 pt6a 0 t gnd gndio0 - e8 pt5b 0 c g8 pt5a 0 t a8 pt4b 0 c b8 pt4a 0 t vccio vccio0 0 f8 pt3b 0 c f7 pt3a 0 t j10 pt2b 0 vref2_0 c j9 pt2a 0 vref1_0 t aa11 vcc - aa20 vcc - k11 vcc - k21 vcc - k22 vcc - l11 vcc - l12 vcc - l13 vcc - l18 vcc - l19 vcc - l20 vcc - m11 vcc - m20 vcc - n11 vcc - n20 vcc - v11 vcc - v20 vcc - w 11 vcc - w 20 vcc - lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-127 pinout information lattice semiconductor latticeecp2/m family data sheet y10 vcc - y11 vcc - y12 vcc - y13 vcc - y18 vcc - y19 vcc - y20 vcc - j13 vccio0 0 j14 vccio0 0 k12 vccio0 0 k13 vccio0 0 k14 vccio0 0 k15 vccio0 0 j17 vccio1 1 j18 vccio1 1 j20 vccio1 1 k17 vccio1 1 k18 vccio1 1 k20 vccio1 1 l21 vccio2 2 m21 vccio2 2 m22 vccio2 2 n21 vccio2 2 n22 vccio2 2 r21 vccio2 2 u21 vccio3 3 u22 vccio3 3 v21 vccio3 3 v22 vccio3 3 w 21 vccio3 3 y22 vccio3 3 aa16 vccio4 4 aa17 vccio4 4 aa18 vccio4 4 aa19 vccio4 4 ab17 vccio4 4 ab18 vccio4 4 aa12 vccio5 5 aa13 vccio5 5 aa14 vccio5 5 ab12 vccio5 5 ab13 vccio5 5 ab14 vccio5 5 lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-128 pinout information lattice semiconductor latticeecp2/m family data sheet u10 vccio6 6 u9 vccio6 6 v10 vccio6 6 w 10 vccio6 6 w 9 vccio6 6 y9 vccio6 6 l10 vccio7 7 l9 vccio7 7 m10 vccio7 7 n10 vccio7 7 p10 vccio7 7 r10 vccio7 7 aa21 vccio8 8 y21 vccio8 8 aa15 vccaux - ab11 vccaux - ab19 vccaux - ab20 vccaux - j11 vccaux - j12 vccaux - j19 vccaux - k19 vccaux - l22 vccaux - m9 vccaux - n9 vccaux - p21 vccaux - p9 vccaux - t10 vccaux - t21 vccaux - v9 vccaux - w 22 vccaux - a1 gnd - a30 gnd - ac28 gnd - ac3 gnd - ah13 gnd - ah18 gnd - ah23 gnd - ah28 gnd - ah3 gnd - ah8 gnd - ak1 gnd - ak30 gnd - lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-129 pinout information lattice semiconductor latticeecp2/m family data sheet c13 gnd - c18 gnd - c23 gnd - c28 gnd - c3 gnd - c8 gnd - h28 gnd - h3 gnd - l14 gnd - l15 gnd - l16 gnd - l17 gnd - m12 gnd - m13 gnd - m14 gnd - m15 gnd - m16 gnd - m17 gnd - m18 gnd - m19 gnd - n12 gnd - n13 gnd - n14 gnd - n15 gnd - n16 gnd - n17 gnd - n18 gnd - n19 gnd - n28 gnd - n3 gnd - p11 gnd - p12 gnd - p13 gnd - p14 gnd - p15 gnd - p16 gnd - p17 gnd - p18 gnd - p19 gnd - p20 gnd - r11 gnd - r12 gnd - r13 gnd - lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-130 pinout information lattice semiconductor latticeecp2/m family data sheet r14 gnd - r15 gnd - r16 gnd - r17 gnd - r18 gnd - r19 gnd - r20 gnd - t11 gnd - t12 gnd - t13 gnd - t14 gnd - t15 gnd - t16 gnd - t17 gnd - t18 gnd - t19 gnd - t20 gnd - u11 gnd - u12 gnd - u13 gnd - u14 gnd - u15 gnd - u16 gnd - u17 gnd - u18 gnd - u19 gnd - u20 gnd - v12 gnd - v13 gnd - v14 gnd - v15 gnd - v16 gnd - v17 gnd - v18 gnd - v19 gnd - v28 gnd - v3 gnd - w 12 gnd - w 13 gnd - w 14 gnd - w 15 gnd - w 16 gnd - w 17 gnd - lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-131 pinout information lattice semiconductor latticeecp2/m family data sheet w 18 gnd - w 19 gnd - y14 gnd - y15 gnd - y16 gnd - y17 gnd - a2 nc - a3 nc - a4 nc - a5 nc - ab28 nc - ac4 nc - ad23 nc - ae1 nc - ae2 nc - ae29 nc - ae3 nc - ae30 nc - ae4 nc - ae5 nc - ae6 nc - af1 nc - af2 nc - af23 nc - af26 nc - af27 nc - af28 nc - af29 nc - af3 nc - af30 nc - af4 nc - af5 nc - ag1 nc - ag13 nc - ag16 nc - ag18 nc - ag2 nc - ag26 nc - ag27 nc - ag28 nc - ag29 nc - ag3 nc - ag30 nc - lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-132 pinout information lattice semiconductor latticeecp2/m family data sheet ag4 nc - ag8 nc - ah1 nc - ah16 nc - ah2 nc - ah26 nc - ah27 nc - ah29 nc - ah30 nc - ah4 nc - aj1 nc - aj2 nc - aj27 nc - aj28 nc - aj29 nc - aj3 nc - aj30 nc - ak2 nc - ak27 nc - ak28 nc - ak29 nc - ak3 nc - b1 nc - b2 nc - b3 nc - b30 nc - b4 nc - b5 nc - c1 nc - c2 nc - c29 nc - c30 nc - c4 nc - d13 nc - d18 nc - d23 nc - d28 nc - d29 nc - d3 nc - d30 nc - d4 nc - e25 nc - e26 nc - lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-133 pinout information lattice semiconductor latticeecp2/m family data sheet e27 nc - e28 nc - e29 nc - e3 nc - e30 nc - e4 nc - e5 nc - e6 nc - f25 nc - f5 nc - f6 nc - g6 nc - g7 nc - k10 nc - k9 nc - n27 nc - n4 nc - r1 nc - r2 nc - v27 nc - v4 nc - p22 vccpll - p8 vccpll - t22 vccpll - y7 vccpll - * supports true lvds. other differential signals must be emulated with external resistors. ** these dedicated input pins can be used for gplls or gdlls within the respective quadrant. ***due to packaging bond out option, this dqs does not have all the necessary dq pins bonded out for a full 8-bit data width. note: vccio and gnd pads are used to determine the average dc current drawn by i/os between gnd/vccio connections, or between t he last gnd/vccio in an i/o bank and the end of an i/o bank. the substrate pads listed in the pin table do not necessarily have a one to one connection with a package ball or pin. lfe2-70e/se logic signal connections: 900 fpbga (cont.) lfe2-70e/se ball number ball/pad function bank dual function differential
4-134 pinout information lattice semiconductor latticeecp2/m family data sheet lfe2m-20e/se and lfe2m-35e/se logic signal connections: 256 fpbga lfe2m20e/se lfe2m35e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential a2 pl2a 7 ldq6 t (lvds)* pl2a 7 ldq6 t (lvds)* b2 pl2b 7 ldq6 c (lvds)* pl2b 7 ldq6 c(lvds)* d3 pl3a 7 ldq6 t pl3a 7 ldq6 t c2 pl3b 7 ldq6 c pl3b 7 ldq6 c e4 pl4a 7 ldq6 t (lvds)* pl4a 7 ldq6 t (lvds)* vccio vccio7 7 vccio7 7 e5 pl4b 7 ldq6 c (lvds)* pl4b 7 ldq6 c(lvds)* b1 pl5a 7 ldq6 t pl5a 7 ldq6 t c1 pl5b 7 ldq6 c pl5b 7 ldq6 c d2 pl6a 7 ldqs6 t (lvds)* pl6a 7 ldqs6 t (lvds)* gndio gndio7 - gndio7 - d1 pl6b 7 ldq6 c (lvds)* pl6b 7 ldq6 c(lvds)* e1 pl7a 7 ldq6 t pl7a 7 ldq6 t f1 pl7b 7 ldq6 c pl7b 7 ldq6 c vccio vccio7 7 vccio7 7 f3 pl8a 7 ldq6 t (lvds)* pl8a 7 ldq6 t (lvds)* f2 pl8b 7 ldq6 c (lvds)* pl8b 7 ldq6 c(lvds)* f6 pl9a 7 vref2_7/ldq6 t pl9a 7 vref2_7/ldq6 t f5 pl9b 7 vref1_7/ldq6 c pl9b 7 vref1_7/ldq6 c gndio gndio7 - gndio7 - g4 pl11a 7 lum0_spllt_in_a t (lvds)* pl11a 7 lum0_spllt_in_a/ldq15 t (lvds)* g3 pl11b 7 lum0_spllc_in_a c (lvds)* pl11b 7 lum0_spllc_in_a/ldq15 c(lvds)* g1 pl12a 7 lum0_spllt_fb_a t pl12a 7 lum0_spllt_fb_a/ldq15 t g2 pl12b 7 lum0_spllc_fb_a c pl12b 7 lum0_spllc_fb_a/ldq15 c h1 pl13a 7 t (lvds)* pl13a 7 ldq15 t (lvds)* vccio vccio7 7 vccio7 7 j1 pl13b 7 c (lvds)* pl13b 7 ldq15 c(lvds)* h2 pl14a 7 t pl14a 7 ldq15 t h3 pl14b 7 c pl14b 7 ldq15 c gndio gndio7 - gndio7 - vccio vccio7 7 vccio7 7 g6 pl24a 7 ldq22 t (lvds)* pl34a 7 ldq32 t (lvds)* h6 pl24b 7 ldq22 c (lvds)* pl34b 7 ldq32 c(lvds)* j2 pl25a 7 pclkt7_0/ldq22 t pl35a 7 pclkt7_0/ldq32 t gndio gndio7 - gndio7 - k1 pl25b 7 pclkc7_0/ldq22 c pl35b 7 pclkc7_0/ldq32 c h4 pl27a 6 pclkt6_0 t (lvds)* pl37a 6 pclkt6_0 t (lvds)* h5 pl27b 6 pclkc6_0 c (lvds)* pl37b 6 pclkc6_0 c(lvds)* j4 pl28a 6 vref2_6 t pl38a 6 vref2_6 t k4 pl28b 6 vref1_6 c pl38b 6 vref1_6 c vccio vccio6 6 vccio6 6 j6 pl31a 6 llm1_spllt_in_a t (lvds)* pl41a 6 llm2_spllt_in_a t (lvds)* gndio gndio6 - gndio6 - j5 pl31b 6 llm1_spllc_in_a c (lvds)* pl41b 6 llm2_spllc_in_a c(lvds)* k3 pl32a 6 llm1_spllt_fb_a t pl42a 6 llm2_spllt_fb_a t k2 pl32b 6 llm1_spllc_fb_a c pl42b 6 llm2_spllc_fb_a c vccio vccio6 6 vccio6 6 gndio gndio6 - gndio6 - l1 pl42a 6 llm0_gpllt_in_a t (lvds)* pl57a 6 llm0_gpllt_in_a**/ldqs57*** t (lvds)*
4-135 pinout information lattice semiconductor latticeecp2/m family data sheet gndio gndio6 - gndio6 - l2 pl42b 6 llm0_gpllc_in_a c (lvds)* pl57b 6 llm0_gpllc_in_a**/ldq57 c(lvds)* l3 pl43a 6 llm0_gpllt_fb_a t pl58a 6 llm0_gpllt_fb_a/ldq57 t l4 pl43b 6 llm0_gpllc_fb_a c pl58b 6 llm0_gpllc_fb_a/ldq57 c vccio vccio6 6 vccio6 6 m1 pl44a 6 llm0_gdllt_in_a t (lvds)* pl59a 6 llm0_gdllt_in_a**/ldq57 t (lvds)* n1 pl44b 6 llm0_gdllc_in_a c (lvds)* pl59b 6 llm0_gdllc_in_a**/ldq57 c(lvds)* n2 pl45a 6 llm0_gdllt_fb_a t pl60a 6 llm0_gdllt_fb_a/ldq57 t n3 pl45b 6 llm0_gdllc_fb_a c pl60b 6 llm0_gdllc_fb_a/ldq57 c gndio gndio6 - gndio6 - m4 llm0_pllcap 6 llm0_pllcap 6 vccio vccio6 6 vccio6 6 gndio gndio6 - gndio6 - k6 tck - tck - l5 tdi - tdi - n4 tms - tms - n6 tdo - tdo - k7 vccj - vccj - m5 pb2a 5 bdq6 t pb2a 5 bdq6 t n5 pb2b 5 bdq6 c pb2b 5 bdq6 c l6 pb3a 5 bdq6 t pb3a 5 bdq6 t m6 pb3b 5 bdq6 c pb3b 5 bdq6 c p3 pb4a 5 bdq6 t pb4a 5 bdq6 t vccio vccio5 5 vccio5 5 p4 pb4b 5 bdq6 c pb4b 5 bdq6 c p2 pb5a 5 bdq6 t pb5a 5 bdq6 t p1 pb5b 5 bdq6 c pb5b 5 bdq6 c r1 pb6a 5 bdqs6 t pb6a 5 bdqs6 t gndio gndio5 - gndio5 - r2 pb6b 5 bdq6 c pb6b 5 bdq6 c r3 pb7a 5 bdq6 t pb7a 5 bdq6 t t2 pb7b 5 bdq6 c pb7b 5 bdq6 c r4 pb8a 5 bdq6 t pb8a 5 bdq6 t vccio vccio5 5 vccio5 5 t3 pb8b 5 bdq6 c pb8b 5 bdq6 c t4 pb10a 5 bdq6 t pb10a 5 bdq6 t gndio gndio5 - gndio5 - t5 pb10b 5 bdq6 c pb10b 5 bdq6 c vccio vccio5 5 vccio5 5 gndio gndio5 - gndio5 - t6 pb16a 5 vref2_5/bdq15 t pb34a 5 vref2_5/bdq33 t r6 pb16b 5 vref1_5/bdq15 c pb34b 5 vref1_5/bdq33 c p6 pb17a 5 pclkt5_0/bdq15 t pb35a 5 pclkt5_0/bdq33 t p7 pb17b 5 pclkc5_0/bdq15 c pb35b 5 pclkc5_0/bdq33 c vccio vccio5 5 vccio5 5 gndio gndio5 - gndio5 - t7 pb22a 4 pclkt4_0/bdq24 t pb40a 4 pclkt4_0/bdq42 t vccio vccio4 4 vccio4 4 t8 pb22b 4 pclkc4_0/bdq24 c pb40b 4 pclkc4_0/bdq42 c lfe2m-20e/se and lfe2m-35e/se logic signal connections: 256 fpbga lfe2m20e/se lfe2m35e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-136 pinout information lattice semiconductor latticeecp2/m family data sheet l7 pb23a 4 vref2_4/bdq24 t pb41a 4 vref2_4/bdq42 t l8 pb23b 4 vref1_4/bdq24 c pb41b 4 vref1_4/bdq42 c gndio gndio4 - gndio4 - vccio vccio4 4 vccio4 4 gndio gndio4 - gndio4 - p8 pb29a 4 bdq33 t pb47a 4 bdq51 t n8 pb29b 4 bdq33 c pb47b 4 bdq51 c r7 pb30a 4 bdq33 t pb48a 4 bdq51 t r8 pb30b 4 bdq33 c pb48b 4 bdq51 c n7 pb31a 4 bdq33 t pb49a 4 bdq51 t m8 pb31b 4 bdq33 c pb49b 4 bdq51 c vccio vccio4 4 vccio4 4 r9 pb32a 4 bdq33 t pb50a 4 bdq51 t t9 pb32b 4 bdq33 c pb50b 4 bdq51 c gndio gndio4 - gndio4 - t10 pb33a 4 bdqs33 t pb51a 4 bdqs51 t r10 pb33b 4 bdq33 c pb51b 4 bdq51 c n9 pb34a 4 bdq33 t pb52a 4 bdq51 t p10 pb34b 4 bdq33 c pb52b 4 bdq51 c vccio vccio4 4 vccio4 4 gndio gndio4 - gndio4 - l9 pb47a 4 bdq51 t pb65a 4 bdq69 t m9 pb47b 4 bdq51 c pb65b 4 bdq69 c t11 pb49a 4 bdq51 t pb67a 4 bdq69 t r11 pb49b 4 bdq51 c pb67b 4 bdq69 c vccio vccio4 4 vccio4 4 t12 pb50a 4 bdq51 t pb68a 4 bdq69 t t13 pb50b 4 bdq51 c pb68b 4 bdq69 c gndio gndio4 - gndio4 - p11 pb51a 4 bdqs51 t pb69a 4 bdqs69 t n10 pb51b 4 bdq51 c pb69b 4 bdq69 c t14 pb52a 4 bdq51 t pb70a 4 bdq69 t r13 pb52b 4 bdq51 c pb70b 4 bdq69 c r15 pb53a 4 bdq51 t pb71a 4 bdq69 t r16 pb53b 4 bdq51 c pb71b 4 bdq69 c vccio vccio4 4 vccio4 4 r14 pb54a 4 bdq51 t pb72a 4 bdq69 t p15 pb54b 4 bdq51 c pb72b 4 bdq69 c p16 pb55a 4 bdq51 t pb73a 4 bdq69 t p14 pb55b 4 bdq51 c pb73b 4 bdq69 c gndio gndio4 - gndio4 - l11 cfg2 8 cfg2 8 l10 cfg1 8 cfg1 8 p13 cfg0 8 cfg0 8 n12 programn 8 programn 8 n11 cclk 8 cclk 8 m11 initn 8 initn 8 n13 done 8 done 8 gndio gndio8 - gndio8 - lfe2m-20e/se and lfe2m-35e/se logic signal connections: 256 fpbga lfe2m20e/se lfe2m35e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-137 pinout information lattice semiconductor latticeecp2/m family data sheet m12 pr53b 8 w riten c pr68b 8 w riten c m13 pr53a 8 cs1n t pr68a 8 cs1n t n14 pr52b 8 csn c pr67b 8 csn c n15 pr52a 8 d0/spifastn t pr67a 8 d0/spifastn t vccio vccio8 8 vccio8 8 n16 pr51b 8 d1 c pr66b 8 d1 c m16 pr51a 8 d2 t pr66a 8 d2 t l12 pr50b 8 d3 c pr65b 8 d3 c gndio gndio8 - gndio8 - l13 pr50a 8 d4 t pr65a 8 d4 t l16 pr49b 8 d5 c pr64b 8 d5 c k16 pr49a 8 d6 t pr64a 8 d6 t l14 pr48b 8 d7 c pr63b 8 d7 c vccio vccio8 8 vccio8 8 l15 pr48a 8 di/csspi0n t pr63a 8 di/csspi0n t k13 pr47b 8 dout/cson/csspi1n c pr62b 8 dout/cson/csspi1n c k14 pr47a 8 busy/sispi t pr62a 8 busy/sispi t k11 rlm0_pllcap 3 rlm0_pllcap 3 k15 pr45b 3 rlm0_gdllc_fb_a c pr60b 3 rlm0_gdllc_fb_a/rdq57 c gndio gndio3 - gndio3 - j16 pr45a 3 rlm0_gdllt_fb_a t pr60a 3 rlm0_gdllt_fb_a/rdq57 t h16 pr44b 3 rlm0_gdllc_in_a c (lvds)* pr59b 3 rlm0_gdllc_in_a**/rdq57 c(lvds)* j15 pr44a 3 rlm0_gdllt_in_a t (lvds)* pr59a 3 rlm0_gdllt_in_a**/rdq57 t (lvds)* j14 pr43b 3 rlm0_gpllc_in_a c pr58b 3 rlm0_gpllc_in_a**/rdq57 c vccio vccio3 3 vccio3 3 j13 pr43a 3 rlm0_gpllt_in_a t pr58a 3 rlm0_gpllt_in_a**/rdq57 t h13 pr42b 3 rlm0_gpllc_fb_a c (lvds)* pr57b 3 rlm0_gpllc_fb_a/rdq57 c(lvds)* h12 pr42a 3 rlm0_gpllt_fb_a t (lvds)* pr57a 3 rlm0_gpllt_fb_a/rdqs57*** t (lvds)* gndio gndio3 - gndio3 - vccio vccio3 3 vccio3 3 g16 pr32b 3 rlm1_spllc_fb_a c pr42b 3 rlm2_spllc_fb_a c vccio vccio3 3 vccio3 3 h15 pr32a 3 rlm1_spllt_fb_a t pr42a 3 rlm2_spllt_fb_a t e16 pr31b 3 rlm1_spllc_in_a c (lvds)* pr41b 3 rlm2_spllc_in_a c(lvds)* f15 pr31a 3 rlm1_spllt_in_a t (lvds)* pr41a 3 rlm2_spllt_in_a t (lvds)* gndio gndio3 - gndio3 - vccio vccio3 3 vccio3 3 f16 pr28b 3 vref2_3 c pr38b 3 vref2_3 c g15 pr28a 3 vref1_3 t pr38a 3 vref1_3 t j11 pr27b 3 pclkc3_0 c (lvds)* pr37b 3 pclkc3_0 c(lvds)* j12 pr27a 3 pclkt3_0 t (lvds)* pr37a 3 pclkt3_0 t (lvds)* g14 pr25b 2 pclkc2_0/rdq22 c pr35b 2 pclkc2_0/rdq32 c g13 pr25a 2 pclkt2_0/rdq22 t pr35a 2 pclkt2_0/rdq32 t gndio gndio2 - gndio2 - f14 pr24b 2 rdq22 c (lvds)* pr34b 2 rdq32 c(lvds)* f13 pr24a 2 rdq22 t (lvds)* pr34a 2 rdq32 t (lvds)* vccio vccio2 2 vccio2 2 gndio gndio2 - gndio2 - h11 pr14b 2 c pr14b 2 rdq15 c lfe2m-20e/se and lfe2m-35e/se logic signal connections: 256 fpbga lfe2m20e/se lfe2m35e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-138 pinout information lattice semiconductor latticeecp2/m family data sheet g11 pr14a 2 t pr14a 2 rdq15 t e13 pr13b 2 c (lvds)* pr13b 2 rdq15 c(lvds)* f12 pr13a 2 t (lvds)* pr13a 2 rdq15 t (lvds)* vccio vccio2 2 vccio2 2 f11 pr12b 2 rum0_spllc_fb_a c pr12b 2 rum0_spllc_fb_a/rdq15 c e12 pr12a 2 rum0_spllt_fb_a t pr12a 2 rum0_spllt_fb_a/rdq15 t d16 pr11b 2 rum0_spllc_in_a c (lvds)* pr11b 2 rum0_spllc_in_a/rdq15 c(lvds)* d15 pr11a 2 rum0_spllt_in_a t (lvds)* pr11a 2 rum0_spllt_in_a/rdq15 t (lvds)* c16 pr9b 2 vref2_2 c pr9b 2 vref2_2 c gndio gndio2 - gndio2 - b16 pr9a 2 vref1_2 t pr9a 2 vref1_2 t vccio vccio2 2 vccio2 2 f4 xres - xres - c15 urc_sq_vccrx0 12 urc_sq_vccrx0 12 a14 urc_sq_hdinp0 12 t urc_sq_hdinp0 12 t b15 urc_sq_vccib0 12 urc_sq_vccib0 12 b14 urc_sq_hdinn0 12 c urc_sq_hdinn0 12 c c12 urc_sq_vcctx0 12 urc_sq_vcctx0 12 a11 urc_sq_hdoutp0 12 t urc_sq_hdoutp0 12 t a12 urc_sq_vccob0 12 urc_sq_vccob0 12 b11 urc_sq_hdoutn0 12 c urc_sq_hdoutn0 12 c c11 urc_sq_vcctx1 12 urc_sq_vcctx1 12 b10 urc_sq_hdoutn1 12 c urc_sq_hdoutn1 12 c c10 urc_sq_vccob1 12 urc_sq_vccob1 12 a10 urc_sq_hdoutp1 12 t urc_sq_hdoutp1 12 t c14 urc_sq_vccrx1 12 urc_sq_vccrx1 12 b13 urc_sq_hdinn1 12 c urc_sq_hdinn1 12 c c13 urc_sq_vccib1 12 urc_sq_vccib1 12 a13 urc_sq_hdinp1 12 t urc_sq_hdinp1 12 t b9 urc_sq_vccaux33 12 urc_sq_vccaux33 12 d8 urc_sq_refclkn 12 c urc_sq_refclkn 12 c d9 urc_sq_refclkp 12 t urc_sq_refclkp 12 t c9 urc_sq_vccp 12 urc_sq_vccp 12 a5 urc_sq_hdinp2 12 t urc_sq_hdinp2 12 t c5 urc_sq_vccib2 12 urc_sq_vccib2 12 b5 urc_sq_hdinn2 12 c urc_sq_hdinn2 12 c c4 urc_sq_vccrx2 12 urc_sq_vccrx2 12 a8 urc_sq_hdoutp2 12 t urc_sq_hdoutp2 12 t c8 urc_sq_vccob2 12 urc_sq_vccob2 12 b8 urc_sq_hdoutn2 12 c urc_sq_hdoutn2 12 c c7 urc_sq_vcctx2 12 urc_sq_vcctx2 12 b7 urc_sq_hdoutn3 12 c urc_sq_hdoutn3 12 c a6 urc_sq_vccob3 12 urc_sq_vccob3 12 a7 urc_sq_hdoutp3 12 t urc_sq_hdoutp3 12 t c6 urc_sq_vcctx3 12 urc_sq_vcctx3 12 b4 urc_sq_hdinn3 12 c urc_sq_hdinn3 12 c b3 urc_sq_vccib3 12 urc_sq_vccib3 12 a4 urc_sq_hdinp3 12 t urc_sq_hdinp3 12 t c3 urc_sq_vccrx3 12 urc_sq_vccrx3 12 lfe2m-20e/se and lfe2m-35e/se logic signal connections: 256 fpbga lfe2m20e/se lfe2m35e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-139 pinout information lattice semiconductor latticeecp2/m family data sheet gndio gndio1 - gndio1 - vccio vccio1 1 vccio1 1 gndio gndio0 - gndio0 - vccio vccio0 0 vccio0 0 g10 vccpll - vccpll - g7 vcc - vcc - g9 vcc - vcc - h7 vcc - vcc - j10 vcc - vcc - k10 vcc - vcc - k8 vcc - vcc - e7 vccio0 0 vccio0 0 vccio vccio0 0 vccio0 0 e10 vccio1 1 vccio1 1 vccio vccio1 1 vccio1 1 e14 vccio2 2 vccio2 2 g12 vccio2 2 vccio2 2 vccio vccio2 2 vccio2 2 k12 vccio3 3 vccio3 3 m14 vccio3 3 vccio3 3 vccio vccio3 3 vccio3 3 m10 vccio4 4 vccio4 4 p12 vccio4 4 vccio4 4 vccio vccio4 4 vccio4 4 m7 vccio5 5 vccio5 5 p5 vccio5 5 vccio5 5 vccio vccio5 5 vccio5 5 k5 vccio6 6 vccio6 6 m3 vccio6 6 vccio6 6 vccio vccio6 6 vccio6 6 e3 vccio7 7 vccio7 7 g5 vccio7 7 vccio7 7 vccio vccio7 7 vccio7 7 t15 vccio8 8 vccio8 8 vccio vccio8 8 vccio8 8 g8 vccaux - vccaux - h10 vccaux - vccaux - j7 vccaux - vccaux - k9 vccaux - vccaux - a1 gnd - gnd - a15 gnd - gnd - a16 gnd - gnd - a3 gnd - gnd - a9 gnd - gnd - b12 gnd - gnd - b6 gnd - gnd - e15 gnd - gnd - e2 gnd - gnd - h14 gnd - gnd - lfe2m-20e/se and lfe2m-35e/se logic signal connections: 256 fpbga lfe2m20e/se lfe2m35e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-140 pinout information lattice semiconductor latticeecp2/m family data sheet h8 gnd - gnd - h9 gnd - gnd - j3 gnd - gnd - j8 gnd - gnd - j9 gnd - gnd - m15 gnd - gnd - m2 gnd - gnd - p9 gnd - gnd - r12 gnd - gnd - r5 gnd - gnd - t1 gnd - gnd - t16 gnd - gnd - d10 nc - nc - d11 nc - nc - d12 nc - nc - d13 nc - nc - d14 nc - nc - d4 nc - nc - d5 nc - nc - d6 nc - nc - d7 nc - nc - e11 nc - nc - e6 nc - nc - e8 nc - nc - e9 nc - nc - f10 nc - nc - f7 nc - nc - f8 nc - nc - f9 nc - nc - * supports true lvds. other differential signals must be emulated with external resistors. ** these dedicated input pins can be used for gplls or gdlls within the respective quadrant. ***due to packaging bond out option, this dqs does not have all the necessary dq pins bonded out for a full 8-bit data width. note: vccio and gnd pads are used to determine the average dc current drawn by i/os between gnd/vccio connections, or between t he last gnd/vccio in an i/o bank and the end of an i/o bank. the substrate pads listed in the pin table do not necessarily have a one to one connection with a package ball or pin. lfe2m-20e/se and lfe2m-35e/se logic signal connections: 256 fpbga lfe2m20e/se lfe2m35e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-141 pinout information lattice semiconductor latticeecp2/m family data sheet lfe2m20e/se and lfe2m35e/se logic signal connections: 484 fpbga lfe2m20e/se lfe2m35e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential d1 pl2a 7 ldq6 t (lvds)* pl2a 7 ldq6 t (lvds)* e1 pl2b 7 ldq6 c (lvds)* pl2b 7 ldq6 c (lvds)* f1 pl3a 7 ldq6 t pl3a 7 ldq6 t f2 pl3b 7 ldq6 c pl3b 7 ldq6 c f5 pl4a 7 ldq6 t (lvds)* pl4a 7 ldq6 t (lvds)* vccio vccio7 7 vccio7 7 g6 pl4b 7 ldq6 c (lvds)* pl4b 7 ldq6 c (lvds)* f4 pl5a 7 ldq6 t pl5a 7 ldq6 t f3 pl5b 7 ldq6 c pl5b 7 ldq6 c g1 pl6a 7 ldqs6 t (lvds)* pl6a 7 ldqs6 t (lvds)* gndio gndio7 - gndio7 - g2 pl6b 7 ldq6 c (lvds)* pl6b 7 ldq6 c (lvds)* h1 pl7a 7 ldq6 t pl7a 7 ldq6 t h2 pl7b 7 ldq6 c pl7b 7 ldq6 c vccio vccio7 7 vccio7 7 h7 pl8a 7 ldq6 t (lvds)* pl8a 7 ldq6 t (lvds)* h6 pl8b 7 ldq6 c (lvds)* pl8b 7 ldq6 c (lvds)* g3 pl9a 7 vref2_7/ldq6 t pl9a 7 vref2_7/ldq6 t h3 pl9b 7 vref1_7/ldq6 c pl9b 7 vref1_7/ldq6 c gndio gndio7 - gndio7 - h5 pl11a 7 lum0_spllt_in_a t (lvds)* pl11a 7 lum0_spllt_in_a/ldq15 t (lvds)* h4 pl11b 7 lum0_spllc_in_a c (lvds)* pl11b 7 lum0_spllc_in_a/ldq15 c (lvds)* j1 pl12a 7 lum0_spllt_fb_a t pl12a 7 lum0_spllt_fb_a/ldq15 t j2 pl12b 7 lum0_spllc_fb_a c pl12b 7 lum0_spllc_fb_a/ldq15 c j3 pl13a 7 t (lvds)* pl13a 7 ldq15 t (lvds)* vccio vccio7 7 vccio7 7 j4 pl13b 7 c (lvds)* pl13b 7 ldq15 c (lvds)* j7 pl14a 7 t pl14a 7 ldq15 t j6 pl14b 7 c pl14b 7 ldq15 c gndio gndio7 - gndio7 - vccio vccio7 7 vccio7 7 k1 pl18a 7 lum1_spllt_in_a/ldq22 t (lvds)* pl28a 7 lum1_spllt_in_a/ldq32 t (lvds)* k2 pl18b 7 lum1_spllc_in_a/ldq22 c (lvds)* pl28b 7 lum1_spllc_in_a/ldq32 c (lvds)* j5 pl19a 7 lum1_spllt_fb_a/ldq22 t pl29a 7 lum1_spllt_fb_a/ldq32 t k5 pl19b 7 lum1_spllc_fb_a/ldq22 c pl29b 7 lum1_spllc_fb_a/ldq32 c vccio vccio7 7 vccio7 7 k7 pl20a 7 ldq22 t (lvds)* pl30a 7 ldq32 t (lvds)* k6 pl20b 7 ldq22 c (lvds)* pl30b 7 ldq32 c (lvds)* l6 pl21a 7 ldq22 t pl31a 7 ldq32 t l7 pl21b 7 ldq22 c pl31b 7 ldq32 c gndio gndio7 - gndio7 - l1 pl22a 7 ldqs22 t (lvds)* pl32a 7 ldqs32 t (lvds)* l2 pl22b 7 ldq22 c (lvds)* pl32b 7 ldq32 c (lvds)* m7 pl23a 7 ldq22 t pl33a 7 ldq32 t vccio vccio7 7 vccio7 7 l5 pl23b 7 ldq22 c pl33b 7 ldq32 c l3 pl24a 7 ldq22 t (lvds)* pl34a 7 ldq32 t (lvds)* l4 pl24b 7 ldq22 c (lvds)* pl34b 7 ldq32 c (lvds)* m1 pl25a 7 pclkt7_0/ldq22 t pl35a 7 pclkt7_0/ldq32 t
4-142 pinout information lattice semiconductor latticeecp2/m family data sheet gndio gndio7 - gndio7 - m2 pl25b 7 pclkc7_0/ldq22 c pl35b 7 pclkc7_0/ldq32 c m6 pl27a 6 pclkt6_0 t (lvds)* pl37a 6 pclkt6_0 t (lvds)* m5 pl27b 6 pclkc6_0 c (lvds)* pl37b 6 pclkc6_0 c (lvds)* m3 pl28a 6 vref2_6 t pl38a 6 vref2_6 t m4 pl28b 6 vref1_6 c pl38b 6 vref1_6 c vccio vccio6 6 vccio6 6 n7 pl31a 6 llm1_spllt_in_a t (lvds)* pl41a 6 llm2_spllt_in_a t (lvds)* gndio gndio6 - gndio6 - n6 pl31b 6 llm1_spllc_in_a c (lvds)* pl41b 6 llm2_spllc_in_a c (lvds)* n1 pl32a 6 llm1_spllt_fb_a t pl42a 6 llm2_spllt_fb_a t n2 pl32b 6 llm1_spllc_fb_a c pl42b 6 llm2_spllc_fb_a c vccio vccio6 6 vccio6 6 gndio gndio6 - gndio6 - p6 pl38a 6 ldqs38**** t (lvds)* pl48a 6 ldqs48**** t (lvds)* n5 pl38b 6 ldq38 c (lvds)* pl48b 6 ldq48 c (lvds)* p1 pl39a 6 ldq38 t pl49a 6 ldq48 t vccio vccio6 6 vccio6 6 p2 pl39b 6 ldq38 c pl49b 6 ldq48 c p3 pl40a 6 ldq38 t (lvds)* pl50a 6 ldq48 t (lvds)* p4 pl40b 6 ldq38 c (lvds)* pl50b 6 ldq48 c (lvds)* p5 pl41a 6 ldq38 t pl51a 6 ldq48 t gndio gndio6 - gndio6 - p7 pl41b 6 ldq38 c pl51b 6 ldq48 c r1 pl42a 6 llm0_gpllt_in_a** t (lvds)* pl57a 6 llm0_gpllt_in_a**/ldqs57**** t (lvds)* gndio gndio6 - gndio6 - r2 pl42b 6 llm0_gpllc_in_a** c (lvds)* pl57b 6 llm0_gpllc_in_a**/ldq57 c (lvds)* r3 pl43a 6 llm0_gpllt_fb_a t pl58a 6 llm0_gpllt_fb_a/ldq57 t r4 pl43b 6 llm0_gpllc_fb_a c pl58b 6 llm0_gpllc_fb_a/ldq57 c vccio vccio6 6 vccio6 6 r6 pl44a 6 llm0_gdllt_in_a** t (lvds)* pl59a 6 llm0_gdllt_in_a**/ldq57 t (lvds)* r5 pl44b 6 llm0_gdllc_in_a** c (lvds)* pl59b 6 llm0_gdllc_in_a**/ldq57 c (lvds)* t1 pl45a 6 llm0_gdllt_fb_a t pl60a 6 llm0_gdllt_fb_a/ldq57 t t2 pl45b 6 llm0_gdllc_fb_a c pl60b 6 llm0_gdllc_fb_a/ldq57 c gndio gndio6 - gndio6 - r7 llm0_pllcap 6 llm0_pllcap 6 t6 pl47a 6 ldq51 t (lvds)* pl62a 6 ldq66 t (lvds)* t7 pl47b 6 ldq51 c (lvds)* pl62b 6 ldq66 c (lvds)* u1 pl48a 6 ldq51 t pl63a 6 ldq66 t u2 pl48b 6 ldq51 c pl63b 6 ldq66 c vccio vccio6 6 vccio6 6 t3 pl49a 6 ldq51 t (lvds)* pl64a 6 ldq66 t (lvds)* u3 pl49b 6 ldq51 c (lvds)* pl64b 6 ldq66 c (lvds)* u6 pl50a 6 ldq51 t nc - u5 pl50b 6 ldq51 c pl65b 6 ldq66 c gndio gndio6 - gndio6 - v5 pl51a 6 ldqs51 t (lvds)* pl66a 6 ldqs66 t (lvds)* u4 pl51b 6 ldq51 c (lvds)* pl66b 6 ldq66 c (lvds)* v1 pl52a 6 ldq51 t pl67a 6 ldq66 t lfe2m20e/se and lfe2m35e/se logic signal connections: 484 fpbga lfe2m20e/se lfe2m35e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-143 pinout information lattice semiconductor latticeecp2/m family data sheet vccio vccio6 6 vccio6 6 v3 pl52b 6 ldq51 c pl67b 6 ldq66 c w 1 pl53a 6 ldq51 t (lvds)* pl68a 6 ldq66 t (lvds)* y1 pl53b 6 ldq51 c (lvds)* pl68b 6 ldq66 c (lvds)* aa1 pl54a 6 ldq51 t pl69a 6 ldq66 t gndio gndio6 - gndio6 - aa2 pl54b 6 ldq51 c pl69b 6 ldq66 c v4 tck - tck - y2 tdi - tdi - y3 tms - tms - w 3 tdo - tdo - w 4 vccj - vccj - w 5 pb2a 5 bdq6 t pb2a 5 bdq6 t y4 pb2b 5 bdq6 c pb2b 5 bdq6 c w 6 pb3a 5 bdq6 t pb3a 5 bdq6 t v6 pb3b 5 bdq6 c pb3b 5 bdq6 c aa3 pb4a 5 bdq6 t pb4a 5 bdq6 t vccio vccio5 5 vccio5 5 ab2 pb4b 5 bdq6 c pb4b 5 bdq6 c t8 pb5a 5 bdq6 t pb5a 5 bdq6 t u7 pb5b 5 bdq6 c pb5b 5 bdq6 c u8 pb6a 5 bdqs6 t pb6a 5 bdqs6 t gndio gndio5 - gndio5 - t9 pb6b 5 bdq6 c pb6b 5 bdq6 c v8 pb7a 5 bdq6 t pb7a 5 bdq6 t w 8 pb7b 5 bdq6 c pb7b 5 bdq6 c y6 pb8a 5 bdq6 t pb8a 5 bdq6 t vccio vccio5 5 vccio5 5 y5 pb8b 5 bdq6 c pb8b 5 bdq6 c ab3 pb9a 5 bdq6 t pb9a 5 bdq6 t ab4 pb9b 5 bdq6 c pb9b 5 bdq6 c ab5 pb10a 5 bdq6 t pb10a 5 bdq6 t gndio gndio5 - gndio5 - aa6 pb10b 5 bdq6 c pb10b 5 bdq6 c v9 pb13a 5 bdq15 t pb31a 5 bdq33 t u9 pb13b 5 bdq15 c pb31b 5 bdq33 c vccio vccio5 5 vccio5 5 - - - gndio5 - u10 pb14a 5 bdq15 t pb32a 5 bdq33 t t10 pb14b 5 bdq15 c pb32b 5 bdq33 c gndio gndio5 - gndio5 - w 9 pb15a 5 bdqs15**** t pb33a 5 bdqs33**** t y8 pb15b 5 bdq15 c pb33b 5 bdq33 c aa7 pb16a 5 vref2_5/bdq15 t pb34a 5 vref2_5/bdq33 t y7 pb16b 5 vref1_5/bdq15 c pb34b 5 vref1_5/bdq33 c ab6 pb17a 5 pclkt5_0/bdq15 t pb35a 5 pclkt5_0/bdq33 t ab7 pb17b 5 pclkc5_0/bdq15 c pb35b 5 pclkc5_0/bdq33 c vccio vccio5 5 vccio5 5 gndio gndio5 - gndio5 - lfe2m20e/se and lfe2m35e/se logic signal connections: 484 fpbga lfe2m20e/se lfe2m35e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-144 pinout information lattice semiconductor latticeecp2/m family data sheet aa8 pb22a 4 pclkt4_0/bdq24 t pb40a 4 pclkt4_0/bdq42 t vccio vccio4 4 vccio4 4 ab8 pb22b 4 pclkc4_0/bdq24 c pb40b 4 pclkc4_0/bdq42 c aa9 pb23a 4 vref2_4/bdq24 t pb41a 4 vref2_4/bdq42 t y9 pb23b 4 vref1_4/bdq24 c pb41b 4 vref1_4/bdq42 c ab9 pb24a 4 bdqs24**** t pb42a 4 bdqs42**** t gndio gndio4 - gndio4 - ab10 pb24b 4 bdq24 c pb42b 4 bdq42 c aa10 pb25a 4 bdq24 t pb43a 4 bdq42 t y11 pb25b 4 bdq24 c pb43b 4 bdq42 c vccio vccio4 4 vccio4 4 gndio gndio4 - gndio4 - v10 pb29a 4 bdq33 t pb47a 4 bdq51 t u11 pb29b 4 bdq33 c pb47b 4 bdq51 c v11 pb30a 4 bdq33 t pb48a 4 bdq51 t w 11 pb30b 4 bdq33 c pb48b 4 bdq51 c aa11 pb31a 4 bdq33 t pb49a 4 bdq51 t ab11 pb31b 4 bdq33 c pb49b 4 bdq51 c vccio vccio4 4 vccio4 4 t11 pb32a 4 bdq33 t pb50a 4 bdq51 t u12 pb32b 4 bdq33 c pb50b 4 bdq51 c gndio gndio4 - gndio4 - aa12 pb33a 4 bdqs33 t pb51a 4 bdqs51 t y12 pb33b 4 bdq33 c pb51b 4 bdq51 c v12 pb34a 4 bdq33 t pb52a 4 bdq51 t w 12 pb34b 4 bdq33 c pb52b 4 bdq51 c ab12 pb35a 4 bdq33 t pb53a 4 bdq51 t aa13 pb35b 4 bdq33 c pb53b 4 bdq51 c vccio vccio4 4 vccio4 4 t12 pb36a 4 bdq33 t pb54a 4 bdq51 t u13 pb36b 4 bdq33 c pb54b 4 bdq51 c v13 pb37a 4 bdq33 t pb55a 4 bdq51 t t13 pb37b 4 bdq33 c pb55b 4 bdq51 c gndio gndio4 - gndio4 - ab13 pb38a 4 bdq42 t pb56a 4 bdq60 t ab14 pb38b 4 bdq42 c pb56b 4 bdq60 c u14 pb39a 4 bdq42 t pb57a 4 bdq60 t t14 pb39b 4 bdq42 c pb57b 4 bdq60 c aa14 pb40a 4 bdq42 t pb58a 4 bdq60 t vccio vccio4 4 vccio4 4 y14 pb40b 4 bdq42 c pb58b 4 bdq60 c w 14 pb41a 4 bdq42 t pb59a 4 bdq60 t v14 pb41b 4 bdq42 c pb59b 4 bdq60 c ab15 pb42a 4 bdqs42 t pb60a 4 bdqs60 t gndio gndio4 - gndio4 - aa15 pb42b 4 bdq42 c pb60b 4 bdq60 c v15 pb43a 4 bdq42 t pb61a 4 bdq60 t u15 pb43b 4 bdq42 c pb61b 4 bdq60 c ab16 pb44a 4 bdq42 t pb62a 4 bdq60 t lfe2m20e/se and lfe2m35e/se logic signal connections: 484 fpbga lfe2m20e/se lfe2m35e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-145 pinout information lattice semiconductor latticeecp2/m family data sheet vccio vccio4 4 vccio4 4 aa16 pb44b 4 bdq42 c pb62b 4 bdq60 c ab17 pb45a 4 bdq42 t pb63a 4 bdq60 t aa17 pb45b 4 bdq42 c pb63b 4 bdq60 c y15 pb46a 4 bdq42 t pb64a 4 bdq60 t gndio gndio4 - gndio4 - w 15 pb46b 4 bdq42 c pb64b 4 bdq60 c ab20 pb47a 4 bdq51 t pb65a 4 bdq69 t ab21 pb47b 4 bdq51 c pb65b 4 bdq69 c aa21 pb48a 4 bdq51 t pb66a 4 bdq69 t aa20 pb48b 4 bdq51 c pb66b 4 bdq69 c ab19 pb49a 4 bdq51 t pb67a 4 bdq69 t ab18 pb49b 4 bdq51 c pb67b 4 bdq69 c vccio vccio4 4 vccio4 4 y22 pb50a 4 bdq51 t pb68a 4 bdq69 t y21 pb50b 4 bdq51 c pb68b 4 bdq69 c gndio gndio4 - gndio4 - y17 pb51a 4 bdqs51 t pb69a 4 bdqs69 t y18 pb51b 4 bdq51 c pb69b 4 bdq69 c y16 pb52a 4 bdq51 t pb70a 4 bdq69 t w 17 pb52b 4 bdq51 c pb70b 4 bdq69 c y19 pb53a 4 bdq51 t pb71a 4 bdq69 t y20 pb53b 4 bdq51 c pb71b 4 bdq69 c vccio vccio4 4 vccio4 4 w 19 pb54a 4 bdq51 t pb72a 4 bdq69 t w 18 pb54b 4 bdq51 c pb72b 4 bdq69 c v17 pb55a 4 bdq51 t pb73a 4 bdq69 t v18 pb55b 4 bdq51 c pb73b 4 bdq69 c gndio gndio4 - gndio4 - w 20 cfg2 8 cfg2 8 v20 cfg1 8 cfg1 8 v19 cfg0 8 cfg0 8 v22 programn 8 programn 8 w 22 cclk 8 cclk 8 u18 initn 8 initn 8 u22 done 8 done 8 gndio gndio8 - gndio8 - u20 pr53b 8 w riten*** c pr68b 8 w riten*** c u21 pr53a 8 cs1n*** t pr68a 8 cs1n*** t u17 pr52b 8 csn*** c pr67b 8 csn*** c u16 pr52a 8 d0/spifastn*** t pr67a 8 d0/spifastn*** t vccio vccio8 8 vccio8 8 t16 pr51b 8 d1*** c pr66b 8 d1*** c t17 pr51a 8 d2*** t pr66a 8 d2*** t t22 pr50b 8 d3*** c pr65b 8 d3*** c gndio gndio8 - gndio8 - r22 pr50a 8 d4*** t pr65a 8 d4*** t t15 pr49b 8 d5*** c pr64b 8 d5*** c r17 pr49a 8 d6*** t pr64a 8 d6*** t lfe2m20e/se and lfe2m35e/se logic signal connections: 484 fpbga lfe2m20e/se lfe2m35e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-146 pinout information lattice semiconductor latticeecp2/m family data sheet t20 pr48b 8 d7*** c pr63b 8 d7*** c vccio vccio8 8 vccio8 8 t21 pr48a 8 di/csspi0n*** t pr63a 8 di/csspi0n*** t r21 pr47b 8 dout/cson/csspi1n*** c pr62b 8 dout/cson/csspi1n*** c r20 pr47a 8 busy/sispi*** t pr62a 8 busy/sispi*** t r16 rlm0_pllcap 3 rlm0_pllcap 3 r18 pr45b 3 rlm0_gdllc_fb_a c pr60b 3 rlm0_gdllc_fb_a/rdq57 c gndio gndio3 - gndio3 - r19 pr45a 3 rlm0_gdllt_fb_a t pr60a 3 rlm0_gdllt_fb_a/rdq57 t p22 pr44b 3 rlm0_gdllc_in_a** c (lvds)* pr59b 3 rlm0_gdllc_in_a**/rdq57 c (lvds)* p21 pr44a 3 rlm0_gdllt_in_a** t (lvds)* pr59a 3 rlm0_gdllt_in_a**/rdq57 t (lvds)* p16 pr43b 3 rlm0_gpllc_in_a** c pr58b 3 rlm0_gpllc_in_a**/rdq57 c vccio vccio3 3 vccio3 3 p17 pr43a 3 rlm0_gpllt_in_a** t pr58a 3 rlm0_gpllt_in_a**/rdq57 t p20 pr42b 3 rlm0_gpllc_fb_a c (lvds)* pr57b 3 rlm0_gpllc_fb_a/rdq57 c (lvds)* p19 pr42a 3 rlm0_gpllt_fb_a t (lvds)* pr57a 3 rlm0_gpllt_fb_a/rdqs57**** t (lvds)* gndio gndio3 - gndio3 - - - - vccio3 3 p18 pr41b 3 rdq38 c pr51b 3 rdq48 c n16 pr41a 3 rdq38 t pr51a 3 rdq48 t gndio gndio3 - gndio3 - n22 pr40b 3 rdq38 c (lvds)* pr50b 3 rdq48 c (lvds)* n21 pr40a 3 rdq38 t (lvds)* pr50a 3 rdq48 t (lvds)* n17 pr39b 3 rdq38 c pr49b 3 rdq48 c n18 pr39a 3 rdq38 t pr49a 3 rdq48 t vccio vccio3 3 vccio3 3 m22 pr38b 3 rdq38 c (lvds)* pr48b 3 rdq48 c (lvds)* m21 pr38a 3 rdqs38 t (lvds)* pr48a 3 rdqs48 t (lvds)* m16 pr37b 3 rdq38 c pr47b 3 rdq48 c gndio gndio3 - gndio3 - m17 pr37a 3 rdq38 t pr47a 3 rdq48 t m20 pr36b 3 rdq38 c (lvds)* pr46b 3 rdq48 c (lvds)* m19 pr36a 3 rdq38 t (lvds)* pr46a 3 rdq48 t (lvds)* m18 pr35b 3 rdq38 c pr45b 3 rdq48 c vccio vccio3 3 vccio3 3 l16 pr35a 3 rdq38 t pr45a 3 rdq48 t l22 pr34b 3 rdq38 c (lvds)* pr44b 3 rdq48 c (lvds)* l21 pr34a 3 rdq38 t (lvds)* pr44a 3 rdq48 t (lvds)* k22 pr32b 3 rlm1_spllc_fb_a c pr42b 3 rlm2_spllc_fb_a c vccio vccio3 3 vccio3 3 k21 pr32a 3 rlm1_spllt_fb_a t pr42a 3 rlm2_spllt_fb_a t l17 pr31b 3 rlm1_spllc_in_a c (lvds)* pr41b 3 rlm2_spllc_in_a c (lvds)* l18 pr31a 3 rlm1_spllt_in_a t (lvds)* pr41a 3 rlm2_spllt_in_a t (lvds)* gndio gndio3 - gndio3 - l20 pr30b 3 c pr40b 3 c l19 pr30a 3 t pr40a 3 t k16 pr29b 3 c (lvds)* pr39b 3 c (lvds)* k17 pr29a 3 t (lvds)* pr39a 3 t (lvds)* vccio vccio3 3 vccio3 3 lfe2m20e/se and lfe2m35e/se logic signal connections: 484 fpbga lfe2m20e/se lfe2m35e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-147 pinout information lattice semiconductor latticeecp2/m family data sheet j16 pr28b 3 vref2_3 c pr38b 3 vref2_3 c k18 pr28a 3 vref1_3 t pr38a 3 vref1_3 t j22 pr27b 3 pclkc3_0 c (lvds)* pr37b 3 pclkc3_0 c (lvds)* j21 pr27a 3 pclkt3_0 t (lvds)* pr37a 3 pclkt3_0 t (lvds)* h22 pr25b 2 pclkc2_0/rdq22 c pr35b 2 pclkc2_0/rdq32 c h21 pr25a 2 pclkt2_0/rdq22 t pr35a 2 pclkt2_0/rdq32 t gndio gndio2 - gndio2 - j17 pr24b 2 rdq22 c (lvds)* pr34b 2 rdq32 c (lvds)* j18 pr24a 2 rdq22 t (lvds)* pr34a 2 rdq32 t (lvds)* j20 pr23b 2 rdq22 c pr33b 2 rdq32 c j19 pr23a 2 rdq22 t pr33a 2 rdq32 t vccio vccio2 2 vccio2 2 h16 pr22b 2 rdq22 c (lvds)* pr32b 2 rdq32 c (lvds)* h17 pr22a 2 rdqs22 t (lvds)* pr32a 2 rdqs32 t (lvds)* g22 pr21b 2 rdq22 c pr31b 2 rdq32 c gndio gndio2 - gndio2 - g21 pr21a 2 rdq22 t pr31a 2 rdq32 t h20 pr20b 2 rdq22 c (lvds)* pr30b 2 rdq32 c (lvds)* h19 pr20a 2 rdq22 t (lvds)* pr30a 2 rdq32 t (lvds)* g16 pr19b 2 rum1_spllc_fb_a/rdq22 c pr29b 2 rum1_spllc_fb_a/rdq32 c vccio vccio2 2 vccio2 2 h18 pr19a 2 rum1_spllt_fb_a/rdq22 t pr29a 2 rum1_spllt_fb_a/rdq32 t f22 pr18b 2 rum1_spllc_in_a/rdq22 c (lvds)* pr28b 2 rum1_spllc_in_a/rdq32 c (lvds)* f21 pr18a 2 rum1_spllt_in_a/rdq22 t (lvds)* pr28a 2 rum1_spllt_in_a/rdq32 t (lvds)* gndio gndio2 - - - g20 pr16b 2 c pr26b 2 rdq23 c vccio vccio2 2 - - f20 pr16a 2 t pr26a 2 rdq23 t - - - gndio2 - g17 pr15b 2 c (lvds)* pr25b 2 rdq23 c (lvds)* f17 pr15a 2 t (lvds)* pr25a 2 rdq23 t (lvds)* - - - vccio2 2 gndio gndio2 - gndio2 - e22 pr14b 2 c pr14b 2 rdq15 c d22 pr14a 2 t pr14a 2 rdq15 t e20 pr13b 2 c (lvds)* pr13b 2 rdq15 c (lvds)* d20 pr13a 2 t (lvds)* pr13a 2 rdq15 t (lvds)* vccio vccio2 2 vccio2 2 d19 pr12b 2 rum0_spllc_fb_a c pr12b 2 rum0_spllc_fb_a/rdq15 c e19 pr12a 2 rum0_spllt_fb_a t pr12a 2 rum0_spllt_fb_a/rdq15 t f18 pr11b 2 rum0_spllc_in_a c (lvds)* pr11b 2 rum0_spllc_in_a/rdq15 c (lvds)* f19 pr11a 2 rum0_spllt_in_a t (lvds)* pr11a 2 rum0_spllt_in_a/rdq15 t (lvds)* e18 pr9b 2 vref2_2 c pr9b 2 vref2_2 c gndio gndio2 - gndio2 - d18 pr9a 2 vref1_2 t pr9a 2 vref1_2 t vccio vccio2 2 - - f16 xres - xres - c22 urc_sq_vccrx0 12 urc_sq_vccrx0 12 a21 urc_sq_hdinp0 12 t urc_sq_hdinp0 12 t lfe2m20e/se and lfe2m35e/se logic signal connections: 484 fpbga lfe2m20e/se lfe2m35e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-148 pinout information lattice semiconductor latticeecp2/m family data sheet b22 urc_sq_vccib0 12 urc_sq_vccib0 12 b21 urc_sq_hdinn0 12 c urc_sq_hdinn0 12 c c19 urc_sq_vcctx0 12 urc_sq_vcctx0 12 a18 urc_sq_hdoutp 0 12 t urc_sq_hdoutp 0 12 t a19 urc_sq_vccob0 12 urc_sq_vccob0 12 b18 urc_sq_hdoutn 0 12 c urc_sq_hdoutn 0 12 c c18 urc_sq_vcctx1 12 urc_sq_vcctx1 12 b17 urc_sq_hdoutn 1 12 c urc_sq_hdoutn 1 12 c c17 urc_sq_vccob1 12 urc_sq_vccob1 12 a17 urc_sq_hdoutp 1 12 t urc_sq_hdoutp 1 12 t c21 urc_sq_vccrx1 12 urc_sq_vccrx1 12 b20 urc_sq_hdinn1 12 c urc_sq_hdinn1 12 c c20 urc_sq_vccib1 12 urc_sq_vccib1 12 a20 urc_sq_hdinp1 12 t urc_sq_hdinp1 12 t b16 urc_sq_vccaux 33 12 urc_sq_vccaux 33 12 e17 urc_sq_refclk n 12 c urc_sq_refclk n 12 c d17 urc_sq_refclk p 12 t urc_sq_refclk p 12 t c16 urc_sq_vccp 12 urc_sq_vccp 12 a12 urc_sq_hdinp2 12 t urc_sq_hdinp2 12 t c12 urc_sq_vccib2 12 urc_sq_vccib2 12 b12 urc_sq_hdinn2 12 c urc_sq_hdinn2 12 c c11 urc_sq_vccrx2 12 urc_sq_vccrx2 12 a15 urc_sq_hdoutp 2 12 t urc_sq_hdoutp 2 12 t c15 urc_sq_vccob2 12 urc_sq_vccob2 12 b15 urc_sq_hdoutn 2 12 c urc_sq_hdoutn 2 12 c c14 urc_sq_vcctx2 12 urc_sq_vcctx2 12 b14 urc_sq_hdoutn 3 12 c urc_sq_hdoutn 3 12 c a13 urc_sq_vccob3 12 urc_sq_vccob3 12 a14 urc_sq_hdoutp 3 12 t urc_sq_hdoutp 3 12 t c13 urc_sq_vcctx3 12 urc_sq_vcctx3 12 b11 urc_sq_hdinn3 12 c urc_sq_hdinn3 12 c b10 urc_sq_vccib3 12 urc_sq_vccib3 12 a11 urc_sq_hdinp3 12 t urc_sq_hdinp3 12 t c10 urc_sq_vccrx3 12 urc_sq_vccrx3 12 e13 pt28b 1 c pt46b 1 c d12 pt28a 1 t pt46a 1 t gndio gndio1 - gndio1 - a9 pt27b 1 c pt45b 1 c a8 pt27a 1 t pt45a 1 t a7 pt26b 1 c pt44b 1 c a6 pt26a 1 t pt44a 1 t vccio vccio1 1 vccio1 1 e12 pt25b 1 c pt43b 1 c lfe2m20e/se and lfe2m35e/se logic signal connections: 484 fpbga lfe2m20e/se lfe2m35e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-149 pinout information lattice semiconductor latticeecp2/m family data sheet f12 pt25a 1 t pt43a 1 t a5 pt24b 1 c pt42b 1 c a4 pt24a 1 t pt42a 1 t gndio gndio1 - gndio1 - b7 pt23b 1 c pt41b 1 c b8 pt23a 1 t pt41a 1 t g11 pt22b 1 c pt40b 1 c e11 pt22a 1 t pt40a 1 t vccio vccio1 1 vccio1 1 d11 pt21b 1 vref2_1 c pt39b 1 vref2_1 c d10 pt21a 1 vref1_1 t pt39a 1 vref1_1 t f11 pt20a 1 pclkt1_0 t pt38a 1 pclkc1_0 c g10 pt20b 1 pclkc1_0 c pt38b 1 pclkt1_0 t g9 pt19b 0 pclkc0_0 c pt37b 0 pclkc0_0 c gndio gndio0 - gndio0 - f9 pt19a 0 pclkt0_0 t pt37a 0 pclkt0_0 t c9 pt18b 0 vref2_0 c pt36b 0 vref2_0 c d9 pt18a 0 vref1_0 t pt36a 0 vref1_0 t a2 pt17b 0 c pt35b 0 c vccio vccio0 0 vccio0 0 a3 pt17a 0 t pt35a 0 t b3 pt16b 0 c pt34b 0 c c4 pt16a 0 t pt34a 0 t e10 pt15b 0 c pt33b 0 c f10 pt15a 0 t pt33a 0 t c7 pt14b 0 c pt32b 0 c gndio gndio0 - gndio0 - b6 pt14a 0 t pt32a 0 t c6 pt13b 0 c pt31b 0 c vccio vccio0 0 vccio0 0 c5 pt13a 0 t pt31a 0 t c8 pt12b 0 c pt30b 0 c d8 pt12a 0 t pt30a 0 t e8 pt11b 0 c pt29b 0 c e9 pt11a 0 t pt29a 0 t - - - gndio0 - - - - vccio0 0 f8 pt10b 0 c pt10b 0 c g8 pt10a 0 t pt10a 0 t gndio gndio0 - gndio0 - f7 pt9b 0 c pt9b 0 c g7 pt9a 0 t pt9a 0 t c3 pt8b 0 c pt8b 0 c d4 pt8a 0 t pt8a 0 t vccio vccio0 0 vccio0 0 f6 pt7b 0 c pt7b 0 c e6 pt7a 0 t pt7a 0 t e5 pt6b 0 c pt6b 0 c d6 pt6a 0 t pt6a 0 t lfe2m20e/se and lfe2m35e/se logic signal connections: 484 fpbga lfe2m20e/se lfe2m35e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-150 pinout information lattice semiconductor latticeecp2/m family data sheet gndio gndio0 - gndio0 - d3 pt5b 0 c pt5b 0 c e3 pt5a 0 t pt5a 0 t d5 pt4b 0 c pt4b 0 c e4 pt4a 0 t pt4a 0 t vccio vccio0 0 vccio0 0 c2 pt3b 0 c pt3b 0 c b2 pt3a 0 t pt3a 0 t b1 pt2b 0 c pt2b 0 c c1 pt2a 0 t pt2a 0 t r8 vccpll - vccpll - h15 vccpll - vccpll - h8 vccpll - vccpll - r15 vccpll - vccpll - j10 vcc - vcc - j11 vcc - vcc - j12 vcc - vcc - j13 vcc - vcc - k14 vcc - vcc - k9 vcc - vcc - l14 vcc - vcc - l9 vcc - vcc - m14 vcc - vcc - m9 vcc - vcc - n14 vcc - vcc - n9 vcc - vcc - p10 vcc - vcc - p11 vcc - vcc - p12 vcc - vcc - p13 vcc - vcc - b5 vccio0 0 vccio0 0 b9 vccio0 0 vccio0 0 e7 vccio0 0 vccio0 0 h9 vccio0 0 vccio0 0 d13 vccio1 1 vccio1 1 e16 vccio1 1 vccio1 1 h14 vccio1 1 vccio1 1 e21 vccio2 2 vccio2 2 g18 vccio2 2 vccio2 2 j15 vccio2 2 vccio2 2 k19 vccio2 2 vccio2 2 n19 vccio3 3 vccio3 3 p15 vccio3 3 vccio3 3 t18 vccio3 3 vccio3 3 v21 vccio3 3 vccio3 3 aa18 vccio4 4 vccio4 4 r14 vccio4 4 vccio4 4 v16 vccio4 4 vccio4 4 w 13 vccio4 4 vccio4 4 lfe2m20e/se and lfe2m35e/se logic signal connections: 484 fpbga lfe2m20e/se lfe2m35e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-151 pinout information lattice semiconductor latticeecp2/m family data sheet aa5 vccio5 5 vccio5 5 r9 vccio5 5 vccio5 5 v7 vccio5 5 vccio5 5 w 10 vccio5 5 vccio5 5 n4 vccio6 6 vccio6 6 p8 vccio6 6 vccio6 6 t5 vccio6 6 vccio6 6 v2 vccio6 6 vccio6 6 e2 vccio7 7 vccio7 7 g5 vccio7 7 vccio7 7 j8 vccio7 7 vccio7 7 k4 vccio7 7 vccio7 7 aa22 vccio8 8 vccio8 8 u19 vccio8 8 vccio8 8 h11 vccaux - vccaux - h12 vccaux - vccaux - l15 vccaux - vccaux - l8 vccaux - vccaux - m15 vccaux - vccaux - m8 vccaux - vccaux - r11 vccaux - vccaux - r12 vccaux - vccaux - a1 gnd - gnd - a10 gnd - gnd - a16 gnd - gnd - a22 gnd - gnd - aa19 gnd - gnd - aa4 gnd - gnd - ab1 gnd - gnd - ab22 gnd - gnd - b13 gnd - gnd - b19 gnd - gnd - b4 gnd - gnd - d16 gnd - gnd - d2 gnd - gnd - d21 gnd - gnd - d7 gnd - gnd - g19 gnd - gnd - g4 gnd - gnd - h10 gnd - gnd - h13 gnd - gnd - j14 gnd - gnd - j9 gnd - gnd - k10 gnd - gnd - k11 gnd - gnd - k12 gnd - gnd - k13 gnd - gnd - k15 gnd - gnd - k20 gnd - gnd - lfe2m20e/se and lfe2m35e/se logic signal connections: 484 fpbga lfe2m20e/se lfe2m35e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-152 pinout information lattice semiconductor latticeecp2/m family data sheet k3 gnd - gnd - k8 gnd - gnd - l10 gnd - gnd - l11 gnd - gnd - l12 gnd - gnd - l13 gnd - gnd - m10 gnd - gnd - m11 gnd - gnd - m12 gnd - gnd - m13 gnd - gnd - n10 gnd - gnd - n11 gnd - gnd - n12 gnd - gnd - n13 gnd - gnd - n15 gnd - gnd - n20 gnd - gnd - n3 gnd - gnd - n8 gnd - gnd - p14 gnd - gnd - p9 gnd - gnd - r10 gnd - gnd - r13 gnd - gnd - t19 gnd - gnd - t4 gnd - gnd - w 16 gnd - gnd - w 2 gnd - gnd - w 21 gnd - gnd - w 7 gnd - gnd - y10 gnd - gnd - y13 gnd - gnd - d15 nc - nc - g14 nc - nc - g15 nc - nc - d14 nc - nc - e15 nc - nc - e14 nc - nc - f15 nc - nc - f14 nc - nc - f13 nc - nc - g12 nc - nc - g13 nc - nc - * supports true lvds. other differential signals must be emulated with external resistors. ** these dedicated input pins can be used for gplls or gdlls within the respective quadrant. ***for density migration, board design must take into account that these sysconfig pins are dual function for the lower density devices (ecp2m20 and ecp2m35) and are dedicated pins for the higher density devices (ecp2m50, ecp2m70 and ecp2m100). ****due to packaging bond out option, this dqs does not have all the necessary dq pins bonded out for a full 8-bit data width. note: vccio and gnd pads are used to determine the average dc current drawn by i/os between gnd/vccio connections, or between t he last gnd/vccio in an i/o bank and the end of an i/o bank. the substrate pads listed in the pin table do not necessarily have a one to one connection with a package ball or pin. lfe2m20e/se and lfe2m35e/se logic signal connections: 484 fpbga lfe2m20e/se lfe2m35e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-153 pinout information lattice semiconductor latticeecp2/m family data sheet lfe2m50e/se logic signal connections: 484 fpbga lfe2m50e/se ball number ball/pad function bank dual function differential d1 pl2a 7 ldq6 t (lvds)* e1 pl2b 7 ldq6 c (lvds)* f1 pl3a 7 ldq6 t f2 pl3b 7 ldq6 c f5 pl4a 7 ldq6 t (lvds)* vccio vccio7 7 g6 pl4b 7 ldq6 c (lvds)* f4 pl5a 7 ldq6 t f3 pl5b 7 ldq6 c g1 pl6a 7 ldqs6 t (lvds)* gndio gndio7 - g2 pl6b 7 ldq6 c (lvds)* h1 pl7a 7 ldq6 t h2 pl7b 7 ldq6 c vccio vccio7 7 h7 pl8a 7 ldq6 t (lvds)* h6 pl8b 7 ldq6 c (lvds)* g3 pl9a 7 vref2_7/ldq6 t h3 pl9b 7 vref1_7/ldq6 c gndio gndio7 - vccio vccio7 7 h5 pl11a 7 lum0_spllt_in_a t (lvds)* h4 pl11b 7 lum0_spllc_in_a c (lvds)* j1 pl12a 7 lum0_spllt_fb_a t j2 pl12b 7 lum0_spllc_fb_a c gndio gndio7 - j3 pl13a 7 t (lvds)* j4 pl13b 7 c (lvds)* j7 pl14a 7 t vccio vccio7 7 j6 pl14b 7 c gndio gndio7 - vccio vccio7 7 k1 pl32a 7 lum3_spllt_in_a/ldq36 t (lvds)* k2 pl32b 7 lum3_spllc_in_a/ldq36 c (lvds)* j5 pl33a 7 lum3_spllt_fb_a/ldq36 t k5 pl33b 7 lum3_spllc_fb_a/ldq36 c vccio vccio7 7 k7 pl34a 7 ldq36 t (lvds)* k6 pl34b 7 ldq36 c (lvds)* l6 pl35a 7 ldq36 t l7 pl35b 7 ldq36 c
4-154 pinout information lattice semiconductor latticeecp2/m family data sheet gndio gndio7 - l1 pl36a 7 ldqs36 t (lvds)* l2 pl36b 7 ldq36 c (lvds)* m7 pl37a 7 ldq36 t vccio vccio7 7 l5 pl37b 7 ldq36 c l3 pl38a 7 ldq36 t (lvds)* l4 pl38b 7 ldq36 c (lvds)* m1 pl39a 7 pclkt7_0/ldq36 t gndio gndio7 - m2 pl39b 7 pclkc7_0/ldq36 c m6 pl41a 6 pclkt6_0 t (lvds)* m5 pl41b 6 pclkc6_0 c (lvds)* m3 pl42a 6 vref2_6 t m4 pl42b 6 vref1_6 c vccio vccio6 6 n7 pl45a 6 llm3_spllt_in_a t (lvds)* gndio gndio6 - n6 pl45b 6 llm3_spllc_in_a c (lvds)* n1 pl46a 6 llm3_spllt_fb_a t n2 pl46b 6 llm3_spllc_fb_a c vccio vccio6 6 gndio gndio6 - p6 pl52a 6 ldqs52**** t (lvds)* n5 pl52b 6 ldq52 c (lvds)* p1 pl53a 6 ldq52 t vccio vccio6 6 p2 pl53b 6 ldq52 c p3 pl54a 6 ldq52 t (lvds)* p4 pl54b 6 ldq52 c (lvds)* p5 pl55a 6 ldq52 t gndio gndio6 - p7 pl55b 6 ldq52 c vccio vccio6 6 gndio gndio6 - r1 pl62a 6 llm0_gpllt_in_a** t (lvds)* gndio gndio6 - r2 pl62b 6 llm0_gpllc_in_a** c (lvds)* r3 pl63a 6 llm0_gpllt_fb_a t r4 pl63b 6 llm0_gpllc_fb_a c vccio vccio6 6 r6 pl64a 6 llm0_gdllt_in_a** t (lvds)* r5 pl64b 6 llm0_gdllc_in_a** c (lvds)* lfe2m50e/se logic signal connections: 484 fpbga (cont.) lfe2m50e/se ball number ball/pad function bank dual function differential
4-155 pinout information lattice semiconductor latticeecp2/m family data sheet t1 pl65a 6 llm0_gdllt_fb_a t t2 pl65b 6 llm0_gdllc_fb_a c gndio gndio6 - r7 llm0_pllcap 6 t6 pl67a 6 ldq71 t (lvds)* t7 pl67b 6 ldq71 c (lvds)* u1 pl68a 6 ldq71 t u2 pl68b 6 ldq71 c vccio vccio6 6 t3 pl69a 6 ldq71 t (lvds)* u3 pl69b 6 ldq71 c (lvds)* u6 pl70a 6 ldq71 t u5 pl70b 6 ldq71 c gndio gndio6 - v5 pl71a 6 ldqs71 t (lvds)* u4 pl71b 6 ldq71 c (lvds)* v1 pl72a 6 ldq71 t vccio vccio6 6 v3 pl72b 6 ldq71 c w 1 pl73a 6 ldq71 t (lvds)* y1 pl73b 6 ldq71 c (lvds)* aa1 pl74a 6 ldq71 t gndio gndio6 - aa2 pl74b 6 ldq71 c v4 tck - y2 tdi - y3 tms - w 3 tdo - w 4 vccj - w 5 pb2a 5 bdq6 t y4 pb2b 5 bdq6 c w 6 pb3a 5 bdq6 t v6 pb3b 5 bdq6 c aa3 pb4a 5 bdq6 t ab2 pb4b 5 bdq6 c vccio vccio5 5 t8 pb5a 5 bdq6 t u7 pb5b 5 bdq6 c gndio gndio5 - u8 pb6a 5 bdqs6 t t9 pb6b 5 bdq6 c v8 pb7a 5 bdq6 t w 8 pb7b 5 bdq6 c lfe2m50e/se logic signal connections: 484 fpbga (cont.) lfe2m50e/se ball number ball/pad function bank dual function differential
4-156 pinout information lattice semiconductor latticeecp2/m family data sheet y6 pb8a 5 bdq6 t y5 pb8b 5 bdq6 c vccio vccio5 5 ab3 pb9a 5 bdq6 t ab4 pb9b 5 bdq6 c ab5 pb10a 5 bdq6 t aa6 pb10b 5 bdq6 c gndio gndio5 - vccio vccio5 5 v9 pb40a 5 bdq42 t u9 pb40b 5 bdq42 c vccio vccio5 5 u10 pb41a 5 bdq42 t t10 pb41b 5 bdq42 c gndio gndio5 - w 9 pb42a 5 bdqs42**** t y8 pb42b 5 bdq42 c aa7 pb43a 5 vref2_5/bdq42 t y7 pb43b 5 vref1_5/bdq42 c ab6 pb44a 5 pclkt5_0/bdq42 t ab7 pb44b 5 pclkc5_0/bdq42 c vccio vccio5 5 gndio gndio5 - aa8 pb49a 4 pclkt4_0/bdq51 t vccio vccio4 4 ab8 pb49b 4 pclkc4_0/bdq51 c aa9 pb50a 4 vref2_4/bdq51 t y9 pb50b 4 vref1_4/bdq51 c ab9 pb51a 4 bdqs51**** t gndio gndio4 - ab10 pb51b 4 bdq51 c aa10 pb52a 4 bdq51 t y11 pb52b 4 bdq51 c vccio vccio4 4 gndio gndio4 - v10 pb56a 4 bdq60 t u11 pb56b 4 bdq60 c v11 pb57a 4 bdq60 t w 11 pb57b 4 bdq60 c aa11 pb58a 4 bdq60 t ab11 pb58b 4 bdq60 c vccio vccio4 4 t11 pb59a 4 bdq60 t lfe2m50e/se logic signal connections: 484 fpbga (cont.) lfe2m50e/se ball number ball/pad function bank dual function differential
4-157 pinout information lattice semiconductor latticeecp2/m family data sheet u12 pb59b 4 bdq60 c gndio gndio4 - aa12 pb60a 4 bdqs60 t y12 pb60b 4 bdq60 c v12 pb61a 4 bdq60 t w 12 pb61b 4 bdq60 c ab12 pb62a 4 bdq60 t aa13 pb62b 4 bdq60 c vccio vccio4 4 t12 pb63a 4 bdq60 t u13 pb63b 4 bdq60 c v13 pb64a 4 bdq60 t t13 pb64b 4 bdq60 c gndio gndio4 - ab13 pb65a 4 bdq69 t ab14 pb65b 4 bdq69 c u14 pb66a 4 bdq69 t t14 pb66b 4 bdq69 c aa14 pb67a 4 bdq69 t vccio vccio4 4 y14 pb67b 4 bdq69 c w 14 pb68a 4 bdq69 t v14 pb68b 4 bdq69 c ab15 pb69a 4 bdqs69 t gndio gndio4 - aa15 pb69b 4 bdq69 c v15 pb70a 4 bdq69 t u15 pb70b 4 bdq69 c ab16 pb71a 4 bdq69 t vccio vccio4 4 aa16 pb71b 4 bdq69 c ab17 pb72a 4 bdq69 t aa17 pb72b 4 bdq69 c gndio gndio4 - w 20 cfg2 8 v20 cfg1 8 v19 cfg0 8 v22 programn 8 w 22 cclk 8 u18 initn 8 u22 done 8 gndio gndio8 - u20 w riten*** 8 lfe2m50e/se logic signal connections: 484 fpbga (cont.) lfe2m50e/se ball number ball/pad function bank dual function differential
4-158 pinout information lattice semiconductor latticeecp2/m family data sheet u21 cs1n*** 8 u17 csn*** 8 u16 d0/spifastn*** 8 vccio vccio8 8 t16 d1*** 8 t17 d2*** 8 t22 d3*** 8 gndio gndio8 - r22 d4*** 8 t15 d5*** 8 r17 d6*** 8 t20 d7*** 8 vccio vccio8 8 t21 di/csspi0n*** 8 r21 dout/cson/csspi1n*** 8 r20 busy/sispi*** 8 r16 rlm0_pllcap 3 r18 pr65b 3 rlm0_gdllc_fb_a c gndio gndio3 - r19 pr65a 3 rlm0_gdllt_fb_a t p22 pr64b 3 rlm0_gdllc_in_a** c (lvds)* p21 pr64a 3 rlm0_gdllt_in_a** t (lvds)* p16 pr63b 3 rlm0_gpllc_in_a** c vccio vccio3 3 p17 pr63a 3 rlm0_gpllt_in_a** t p20 pr62b 3 rlm0_gpllc_fb_a c (lvds)* p19 pr62a 3 rlm0_gpllt_fb_a t (lvds)* gndio gndio3 - vccio vccio3 3 p18 pr55b 3 rdq52 c n16 pr55a 3 rdq52 t gndio gndio3 - n22 pr54b 3 rdq52 c (lvds)* n21 pr54a 3 rdq52 t (lvds)* n17 pr53b 3 rdq52 c n18 pr53a 3 rdq52 t vccio vccio3 3 m22 pr52b 3 rdq52 c (lvds)* m21 pr52a 3 rdqs52 t (lvds)* m16 pr51b 3 rdq52 c gndio gndio3 - m17 pr51a 3 rdq52 t m20 pr50b 3 rdq52 c (lvds)* lfe2m50e/se logic signal connections: 484 fpbga (cont.) lfe2m50e/se ball number ball/pad function bank dual function differential
4-159 pinout information lattice semiconductor latticeecp2/m family data sheet m19 pr50a 3 rdq52 t (lvds)* m18 pr49b 3 rdq52 c vccio vccio3 3 l16 pr49a 3 rdq52 t l22 pr48b 3 rdq52 c (lvds)* l21 pr48a 3 rdq52 t (lvds)* gndio gndio3 - k22 pr46b 3 rlm3_spllc_fb_a c vccio vccio3 3 k21 pr46a 3 rlm3_spllt_fb_a t l17 pr45b 3 rlm3_spllc_in_a c (lvds)* l18 pr45a 3 rlm3_spllt_in_a t (lvds)* gndio gndio3 - l20 pr44b 3 c l19 pr44a 3 t k16 pr43b 3 c (lvds)* k17 pr43a 3 t (lvds)* vccio vccio3 3 j16 pr42b 3 vref2_3 c k18 pr42a 3 vref1_3 t j22 pr41b 3 pclkc3_0 c (lvds)* j21 pr41a 3 pclkt3_0 t (lvds)* h22 pr39b 2 pclkc2_0/rdq36 c h21 pr39a 2 pclkt2_0/rdq36 t gndio gndio2 - j17 pr38b 2 rdq36 c (lvds)* j18 pr38a 2 rdq36 t (lvds)* j20 pr37b 2 rdq36 c j19 pr37a 2 rdq36 t vccio vccio2 2 h16 pr36b 2 rdq36 c (lvds)* h17 pr36a 2 rdqs36 t (lvds)* g22 pr35b 2 rdq36 c gndio gndio2 - g21 pr35a 2 rdq36 t h20 pr34b 2 rdq36 c (lvds)* h19 pr34a 2 rdq36 t (lvds)* g16 pr33b 2 rum3_spllc_fb_a/rdq36 c vccio vccio2 2 h18 pr33a 2 rum3_spllt_fb_a/rdq36 t f22 pr32b 2 rum3_spllc_in_a/rdq36 c (lvds)* f21 pr32a 2 rum3_spllt_in_a/rdq36 t (lvds)* g20 pr30b 2 rdq27 c lfe2m50e/se logic signal connections: 484 fpbga (cont.) lfe2m50e/se ball number ball/pad function bank dual function differential
4-160 pinout information lattice semiconductor latticeecp2/m family data sheet f20 pr30a 2 rdq27 t gndio gndio2 - g17 pr29b 2 rdq27 c (lvds)* f17 pr29a 2 rdq27 t (lvds)* vccio vccio2 2 gndio gndio2 - e22 pr14b 2 c d22 pr14a 2 t vccio vccio2 - e20 pr13b 2 c (lvds)* d20 pr13a 2 t (lvds)* d19 pr12b 2 rum0_spllc_fb_a c gndio gndio2 - e19 pr12a 2 rum0_spllt_fb_a t f18 pr11b 2 rum0_spllc_in_a c (lvds)* f19 pr11a 2 rum0_spllt_in_a t (lvds)* vccio vccio2 - e18 pr9b 2 vref2_2 c gndio gndio2 - d18 pr9a 2 vref1_2 t vccio vccio2 2 f16 xres - c22 urc_sq_vccrx0 12 a21 urc_sq_hdinp0 12 t b22 urc_sq_vccib0 12 b21 urc_sq_hdinn0 12 c c19 urc_sq_vcctx0 12 a18 urc_sq_hdoutp0 12 t a19 urc_sq_vccob0 12 b18 urc_sq_hdoutn0 12 c c18 urc_sq_vcctx1 12 b17 urc_sq_hdoutn1 12 c c17 urc_sq_vccob1 12 a17 urc_sq_hdoutp1 12 t c21 urc_sq_vccrx1 12 b20 urc_sq_hdinn1 12 c c20 urc_sq_vccib1 12 a20 urc_sq_hdinp1 12 t b16 urc_sq_vccaux33 12 e17 urc_sq_refclkn 12 c d17 urc_sq_refclkp 12 t c16 urc_sq_vccp 12 a12 urc_sq_hdinp2 12 t lfe2m50e/se logic signal connections: 484 fpbga (cont.) lfe2m50e/se ball number ball/pad function bank dual function differential
4-161 pinout information lattice semiconductor latticeecp2/m family data sheet c12 urc_sq_vccib2 12 b12 urc_sq_hdinn2 12 c c11 urc_sq_vccrx2 12 a15 urc_sq_hdoutp2 12 t c15 urc_sq_vccob2 12 b15 urc_sq_hdoutn2 12 c c14 urc_sq_vcctx2 12 b14 urc_sq_hdoutn3 12 c a13 urc_sq_vccob3 12 a14 urc_sq_hdoutp3 12 t c13 urc_sq_vcctx3 12 b11 urc_sq_hdinn3 12 c b10 urc_sq_vccib3 12 a11 urc_sq_hdinp3 12 t c10 urc_sq_vccrx3 12 gndio gndio1 - vccio vccio1 1 e13 pt55b 1 c d12 pt55a 1 t gndio gndio1 - a9 pt54b 1 c a8 pt54a 1 t a7 pt53b 1 c a6 pt53a 1 t vccio vccio1 1 e12 pt52b 1 c f12 pt52a 1 t a5 pt51b 1 c a4 pt51a 1 t gndio gndio1 - b7 pt50b 1 c b8 pt50a 1 t g11 pt49b 1 c e11 pt49a 1 t vccio vccio1 1 d11 pt48b 1 vref2_1 c d10 pt48a 1 vref1_1 t g10 pt47b 1 pclkc1_0 c f11 pt47a 1 pclkt1_0 t g9 pt46b 0 pclkc0_0 c gndio gndio0 - f9 pt46a 0 pclkt0_0 t c9 pt45b 0 vref2_0 c lfe2m50e/se logic signal connections: 484 fpbga (cont.) lfe2m50e/se ball number ball/pad function bank dual function differential
4-162 pinout information lattice semiconductor latticeecp2/m family data sheet d9 pt45a 0 vref1_0 t a2 pt44b 0 c vccio vccio0 0 a3 pt44a 0 t b3 pt43b 0 c c4 pt43a 0 t e10 pt42b 0 c f10 pt42a 0 t c7 pt41b 0 c gndio gndio0 - b6 pt41a 0 t c6 pt40b 0 c vccio vccio0 0 c5 pt40a 0 t c8 pt39b 0 c d8 pt39a 0 t e8 pt38b 0 c e9 pt38a 0 t gndio gndio0 - vccio vccio0 0 f8 pt10b 0 c gndio gndio0 - g8 pt10a 0 t f7 pt9b 0 c g7 pt9a 0 t c3 pt8b 0 c vccio vccio0 0 d4 pt8a 0 t f6 pt7b 0 c e6 pt7a 0 t e5 pt6b 0 c d6 pt6a 0 t d3 pt5b 0 c gndio gndio0 - e3 pt5a 0 t d5 pt4b 0 c vccio vccio0 0 e4 pt4a 0 t c2 pt3b 0 c b2 pt3a 0 t b1 pt2b 0 c c1 pt2a 0 t j10 vcc - lfe2m50e/se logic signal connections: 484 fpbga (cont.) lfe2m50e/se ball number ball/pad function bank dual function differential
4-163 pinout information lattice semiconductor latticeecp2/m family data sheet j11 vcc - j12 vcc - j13 vcc - k14 vcc - k9 vcc - l14 vcc - l9 vcc - m14 vcc - m9 vcc - n14 vcc - n9 vcc - p10 vcc - p11 vcc - p12 vcc - p13 vcc - b5 vccio0 0 b9 vccio0 0 e7 vccio0 0 h9 vccio0 0 d13 vccio1 1 e16 vccio1 1 h14 vccio1 1 e21 vccio2 2 g18 vccio2 2 j15 vccio2 2 k19 vccio2 2 n19 vccio3 3 p15 vccio3 3 t18 vccio3 3 v21 vccio3 3 aa18 vccio4 4 r14 vccio4 4 v16 vccio4 4 w 13 vccio4 4 aa5 vccio5 5 r9 vccio5 5 v7 vccio5 5 w 10 vccio5 5 n4 vccio6 6 p8 vccio6 6 t5 vccio6 6 v2 vccio6 6 e2 vccio7 7 lfe2m50e/se logic signal connections: 484 fpbga (cont.) lfe2m50e/se ball number ball/pad function bank dual function differential
4-164 pinout information lattice semiconductor latticeecp2/m family data sheet g5 vccio7 7 j8 vccio7 7 k4 vccio7 7 aa22 vccio8 8 u19 vccio8 8 h11 vccaux - h12 vccaux - l15 vccaux - l8 vccaux - m15 vccaux - m8 vccaux - r11 vccaux - r12 vccaux - a1 gnd - a10 gnd - a16 gnd - a22 gnd - aa19 gnd - aa4 gnd - ab1 gnd - ab22 gnd - b13 gnd - b19 gnd - b4 gnd - d16 gnd - d2 gnd - d21 gnd - d7 gnd - g19 gnd - g4 gnd - h10 gnd - h13 gnd - j14 gnd - j9 gnd - k10 gnd - k11 gnd - k12 gnd - k13 gnd - k15 gnd - k20 gnd - k3 gnd - k8 gnd - l10 gnd - lfe2m50e/se logic signal connections: 484 fpbga (cont.) lfe2m50e/se ball number ball/pad function bank dual function differential
4-165 pinout information lattice semiconductor latticeecp2/m family data sheet l11 gnd - l12 gnd - l13 gnd - m10 gnd - m11 gnd - m12 gnd - m13 gnd - n10 gnd - n11 gnd - n12 gnd - n13 gnd - n15 gnd - n20 gnd - n3 gnd - n8 gnd - p14 gnd - p9 gnd - r10 gnd - r13 gnd - t19 gnd - t4 gnd - w 16 gnd - w 2 gnd - w 21 gnd - w 7 gnd - y10 gnd - y13 gnd - y15 nc - w 15 nc - ab20 nc - ab21 nc - aa21 nc - aa20 nc - ab19 nc - ab18 nc - y22 nc - y21 nc - y17 nc - y18 nc - y16 nc - w 17 nc - y19 nc - y20 nc - lfe2m50e/se logic signal connections: 484 fpbga (cont.) lfe2m50e/se ball number ball/pad function bank dual function differential
4-166 pinout information lattice semiconductor latticeecp2/m family data sheet w 19 nc - w 18 nc - v17 nc - v18 nc - d15 nc - g14 nc - g15 nc - d14 nc - e15 nc - e14 nc - f15 nc - f14 nc - f13 nc - g12 nc - g13 nc - h8 vccpll - h15 vccpll - r8 vccpll - r15 vccpll - * supports true lvds. other differential signals must be emulated with external resistors. ** these dedicated input pins can be used for gplls or gdlls within the respective quadrant. ***for density migration, board design must take into account that these sysconfig pins are dual function for the lower density devices (ecp2m20 and ecp2m35) and are dedicated pins for the higher density devices (ecp2m50, ecp2m70 and ecp2m100). ****due to packaging bond out option, this dqs does not have all the necessary dq pins bonded out for a full 8-bit data width. note: vccio and gnd pads are used to determine the average dc current drawn by i/os between gnd/vccio connections, or between t he last gnd/vccio in an i/o bank and the end of an i/o bank. the substrate pads listed in the pin table do not necessarily have a one to one connection with a package ball or pin. lfe2m50e/se logic signal connections: 484 fpbga (cont.) lfe2m50e/se ball number ball/pad function bank dual function differential
4-167 pinout information lattice semiconductor latticeecp2/m family data sheet lfe2m35e/se and lfe2m50e/se logic signal connections: 672 fpbga lfe2m35e/se lfe2m50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential c2 pl2a 7 ldq6 t (lvds)* pl2a 7 ldq6 t* c1 pl2b 7 ldq6 c (lvds)* pl2b 7 ldq6 c* f6 pl3a 7 ldq6 t pl3a 7 ldq6 t h9 pl3b 7 ldq6 c pl3b 7 ldq6 c d3 pl4a 7 ldq6 t (lvds)* pl4a 7 ldq6 t* vccio vccio7 7 vccio7 7 d2 pl4b 7 ldq6 c (lvds)* pl4b 7 ldq6 c* f5 pl5a 7 ldq6 t pl5a 7 ldq6 t h8 pl5b 7 ldq6 c pl5b 7 ldq6 c e3 pl6a 7 ldqs6 t (lvds)* pl6a 7 ldqs6 t* gndio gndio7 - gndio7 - e2 pl6b 7 ldq6 c (lvds)* pl6b 7 ldq6 c* j9 pl7a 7 ldq6 t pl7a 7 ldq6 t e4 pl7b 7 ldq6 c pl7b 7 ldq6 c vccio vccio7 7 vccio7 7 e1 pl8a 7 ldq6 t (lvds)* pl8a 7 ldq6 t* d1 pl8b 7 ldq6 c (lvds)* pl8b 7 ldq6 c* j8 pl9a 7 vref2_7/ldq6 t pl9a 7 vref2_7/ldq6 t f4 pl9b 7 vref1_7/ldq6 c pl9b 7 vref1_7/ldq6 c gndio gndio7 - gndio7 - - - - vccio7 7 f3 pl11a 7 lum0_spllt_in_a/ldq15 t (lvds)* pl11a 7 lum0_spllt_in_a t* f1 pl11b 7 lum0_spllc_in_a/ldq15 c (lvds)* pl11b 7 lum0_spllc_in_a c* g6 pl12a 7 lum0_spllt_fb_a/ldq15 t pl12a 7 lum0_spllt_fb_a t k9 pl12b 7 lum0_spllc_fb_a/ldq15 c pl12b 7 lum0_spllc_fb_a c - - - gndio7 - g5 pl13a 7 ldq15 t (lvds)* pl13a 7 t* vccio vccio7 7 - - g4 pl13b 7 ldq15 c (lvds)* pl13b 7 c* h5 pl14a 7 ldq15 t pl14a 7 t - - - vccio7 7 h6 pl14b 7 ldq15 c pl14b 7 c gndio gndio7 - gndio7 - j7 pl16a 7 ldq15 t pl19a 7 t h4 pl16b 7 ldq15 c pl19b 7 c h3 pl17a 7 ldq15 t (lvds)* pl20a 7 t* vccio vccio7 7 vccio7 7 g3 pl17b 7 ldq15 c (lvds)* pl20b 7 c* gndio gndio7 - gndio7 - g1 pl19a 7 ldq23 t (lvds)* pl23a 7 ldq27 t* h1 pl19b 7 ldq23 c (lvds)* pl23b 7 ldq27 c* j3 pl20a 7 ldq23 t pl24a 7 ldq27 t j4 pl20b 7 ldq23 c pl24b 7 ldq27 c vccio vccio7 7 vccio7 7 h2 pl21a 7 ldq23 t (lvds)* pl25a 7 ldq27 t* j2 pl21b 7 ldq23 c (lvds)* pl25b 7 ldq27 c* k7 pl22a 7 ldq23 t pl26a 7 ldq27 t j6 pl22b 7 ldq23 c pl26b 7 ldq27 c gndio gndio7 - gndio7 -
4-168 pinout information lattice semiconductor latticeecp2/m family data sheet k5 pl23a 7 ldqs23 t (lvds)* pl27a 7 ldqs27 t* l5 pl23b 7 ldq23 c (lvds)* pl27b 7 ldq27 c* k4 pl24a 7 ldq23 t pl28a 7 ldq27 t vccio vccio7 7 vccio7 7 l4 pl24b 7 ldq23 c pl28b 7 ldq27 c k3 pl25a 7 ldq23 t (lvds)* pl29a 7 ldq27 t* l3 pl25b 7 ldq23 c (lvds)* pl29b 7 ldq27 c* j1 pl26a 7 ldq23 t pl30a 7 ldq27 t gndio gndio7 - gndio7 - k2 pl26b 7 ldq23 c pl30b 7 ldq27 c k1 pl28a 7 lum1_spllt_in_a/ldq32 t (lvds)* pl32a 7 lum3_spllt_in_a/ldq36 t* l1 pl28b 7 lum1_spllc_in_a/ldq32 c (lvds)* pl32b 7 lum3_spllc_in_a/ldq36 c* k8 pl29a 7 lum1_spllt_fb_a/ldq32 t pl33a 7 lum3_spllt_fb_a/ldq36 t m5 pl29b 7 lum1_spllc_fb_a/ldq32 c pl33b 7 lum3_spllc_fb_a/ldq36 c vccio vccio7 7 vccio7 7 m4 pl30a 7 ldq32 t (lvds)* pl34a 7 ldq36 t* m3 pl30b 7 ldq32 c (lvds)* pl34b 7 ldq36 c* l8 pl31a 7 ldq32 t pl35a 7 ldq36 t m6 pl31b 7 ldq32 c pl35b 7 ldq36 c gndio gndio7 - gndio7 - m1 pl32a 7 ldqs32 t (lvds)* pl36a 7 ldqs36 t* n1 pl32b 7 ldq32 c (lvds)* pl36b 7 ldq36 c* n3 pl33a 7 ldq32 t pl37a 7 ldq36 t vccio vccio7 7 vccio7 7 n2 pl33b 7 ldq32 c pl37b 7 ldq36 c n5 pl34a 7 ldq32 t (lvds)* pl38a 7 ldq36 t* n4 pl34b 7 ldq32 c (lvds)* pl38b 7 ldq36 c* m7 pl35a 7 pclkt7_0/ldq32 t pl39a 7 pclkt7_0/ldq36 t gndio gndio7 - gndio7 - m8 pl35b 7 pclkc7_0/ldq32 c pl39b 7 pclkc7_0/ldq36 c p3 pl37a 6 pclkt6_0 t (lvds)* pl41a 6 pclkt6_0 t* p2 pl37b 6 pclkc6_0 c (lvds)* pl41b 6 pclkc6_0 c* p5 pl38a 6 vref2_6 t pl42a 6 vref2_6 t n6 pl38b 6 vref1_6 c pl42b 6 vref1_6 c p4 pl39a 6 t (lvds)* pl43a 6 t* vccio vccio6 6 vccio6 6 r3 pl39b 6 c (lvds)* pl43b 6 c* p6 pl40a 6 t pl44a 6 t n7 nc - pl44b 6 c p1 pl41a 6 llm2_spllt_in_a t (lvds)* pl45a 6 llm3_spllt_in_a t* gndio gndio6 - gndio6 - r1 pl41b 6 llm2_spllc_in_a c (lvds)* pl45b 6 llm3_spllc_in_a c* n8 pl42a 6 llm2_spllt_fb_a t pl46a 6 llm3_spllt_fb_a t r5 pl42b 6 llm2_spllc_fb_a c pl46b 6 llm3_spllc_fb_a c vccio vccio6 6 vccio6 6 t3 pl44a 6 ldq48 t (lvds)* pl48a 6 ldq52 t* t4 pl44b 6 ldq48 c (lvds)* pl48b 6 ldq52 c* p8 pl45a 6 ldq48 t pl49a 6 ldq52 t r6 pl45b 6 ldq48 c pl49b 6 ldq52 c lfe2m35e/se and lfe2m50e/se logic signal connections: 672 fpbga lfe2m35e/se lfe2m50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-169 pinout information lattice semiconductor latticeecp2/m family data sheet vccio vccio6 6 vccio6 6 t1 pl46a 6 ldq48 t (lvds)* pl50a 6 ldq52 t* u1 pl46b 6 ldq48 c (lvds)* pl50b 6 ldq52 c* r7 pl47a 6 ldq48 t pl51a 6 ldq52 t t5 pl47b 6 ldq48 c pl51b 6 ldq52 c gndio gndio6 - gndio6 - u3 pl48a 6 ldqs48 t (lvds)* pl52a 6 ldqs52 t* u4 pl48b 6 ldq48 c (lvds)* pl52b 6 ldq52 c* u5 pl49a 6 ldq48 t pl53a 6 ldq52 t vccio vccio6 6 vccio6 6 u6 pl49b 6 ldq48 c pl53b 6 ldq52 c u2 pl50a 6 ldq48 t (lvds)* pl54a 6 ldq52 t* v1 pl50b 6 ldq48 c (lvds)* pl54b 6 ldq52 c* w 2 pl51a 6 ldq48 t pl55a 6 ldq52 t gndio gndio6 - gndio6 - v2 pl51b 6 ldq48 c pl55b 6 ldq52 c v4 pl55a 6 ldq57 t (lvds)* pl59a 6 t* vccio vccio6 6 vccio6 6 v3 pl55b 6 ldq57 c (lvds)* pl59b 6 c* - - - gndio6 - w 4 pl57a 6 llm0_gpllt_in_a**/ldqs57**** t (lvds)* pl62a 6 llm0_gpllt_in_a t* gndio gndio6 - gndio6 - w 3 pl57b 6 llm0_gpllc_in_a**/ldq57 c (lvds)* pl62b 6 llm0_gpllc_in_a c* w 1 pl58a 6 llm0_gpllt_fb_a/ldq57 t pl63a 6 llm0_gpllt_fb_a t y1 pl58b 6 llm0_gpllc_fb_a/ldq57 c pl63b 6 llm0_gpllc_fb_a c vccio vccio6 6 vccio6 6 aa1 pl59a 6 llm0_gdllt_in_a**/ldq57 t (lvds)* pl64a 6 llm0_gdllt_in_a t* ab1 pl59b 6 llm0_gdllc_in_a**/ldq57 c (lvds)* pl64b 6 llm0_gdllc_in_a c* u7 pl60a 6 llm0_gdllt_fb_a/ldq57 t pl65a 6 llm0_gdllt_fb_a t v6 pl60b 6 llm0_gdllc_fb_a/ldq57 c pl65b 6 llm0_gdllc_fb_a c gndio gndio6 - gndio6 - t8 llm0_pllcap 6 llm0_pllcap 6 w 5 pl62a 6 ldq66 t (lvds)* pl67a 6 ldq71 t* y4 pl62b 6 ldq66 c (lvds)* pl67b 6 ldq71 c* u8 pl63a 6 ldq66 t pl68a 6 ldq71 t w 6 pl63b 6 ldq66 c pl68b 6 ldq71 c vccio vccio6 6 vccio6 6 y3 pl64a 6 ldq66 t (lvds)* pl69a 6 ldq71 t* aa3 pl64b 6 ldq66 c (lvds)* pl69b 6 ldq71 c* v7 nc - pl70a 6 ldq71 t y5 pl65b 6 ldq66 c pl70b 6 ldq71 c gndio gndio6 - gndio6 - ab2 pl66a 6 ldqs66 t (lvds)* pl71a 6 ldqs71 t* aa4 pl66b 6 ldq66 c (lvds)* pl71b 6 ldq71 c* y6 pl67a 6 ldq66 t pl72a 6 ldq71 t vccio vccio6 6 vccio6 6 u9 pl67b 6 ldq66 c pl72b 6 ldq71 c aa5 pl68a 6 ldq66 t (lvds)* pl73a 6 ldq71 t* aa6 pl68b 6 ldq66 c (lvds)* pl73b 6 ldq71 c* lfe2m35e/se and lfe2m50e/se logic signal connections: 672 fpbga lfe2m35e/se lfe2m50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-170 pinout information lattice semiconductor latticeecp2/m family data sheet y7 pl69a 6 ldq66 t pl74a 6 ldq71 t gndio gndio6 - gndio6 - v9 pl69b 6 ldq66 c pl74b 6 ldq71 c ac3 tck - tck - w 8 tdi - tdi - ac4 tms - tms - v8 tdo - tdo - aa7 vccj - vccj - ab6 pb2a 5 bdq6 t pb2a 5 bdq6 t y8 pb2b 5 bdq6 c pb2b 5 bdq6 c ad1 pb3a 5 bdq6 t pb3a 5 bdq6 t ad2 pb3b 5 bdq6 c pb3b 5 bdq6 c ac5 pb4a 5 bdq6 t pb4a 5 bdq6 t aa8 pb4b 5 bdq6 c pb4b 5 bdq6 c vccio vccio5 5 vccio5 5 ac6 pb5a 5 bdq6 t pb5a 5 bdq6 t w 9 pb5b 5 bdq6 c pb5b 5 bdq6 c ab7 pb6a 5 bdqs6 t pb6a 5 bdqs6 t gndio gndio5 - gndio5 - y9 pb6b 5 bdq6 c pb6b 5 bdq6 c ad3 pb7a 5 bdq6 t pb7a 5 bdq6 t ad4 pb7b 5 bdq6 c pb7b 5 bdq6 c aa9 pb8a 5 bdq6 t pb8a 5 bdq6 t w 10 pb8b 5 bdq6 c pb8b 5 bdq6 c vccio vccio5 5 vccio5 5 ac7 pb9a 5 bdq6 t pb9a 5 bdq6 t y10 pb9b 5 bdq6 c pb9b 5 bdq6 c ae2 pb10a 5 bdq6 t pb10a 5 bdq6 t ad5 pb10b 5 bdq6 c pb10b 5 bdq6 c gndio gndio5 - gndio5 - ae4 pb11a 5 bdq15 t pb11a 5 bdq15 t ae3 pb11b 5 bdq15 c pb11b 5 bdq15 c w 11 pb12a 5 bdq15 t pb12a 5 bdq15 t ab8 pb12b 5 bdq15 c pb12b 5 bdq15 c ae5 pb13a 5 bdq15 t pb13a 5 bdq15 t ad6 pb13b 5 bdq15 c pb13b 5 bdq15 c vccio vccio5 5 vccio5 5 aa10 pb14a 5 bdq15 t pb14a 5 bdq15 t ac8 pb14b 5 bdq15 c pb14b 5 bdq15 c w 12 pb15a 5 bdqs15 t pb15a 5 bdqs15 t gndio gndio5 - gndio5 - ac9 pb15b 5 bdq15 c pb15b 5 bdq15 c w 13 pb16a 5 bdq15 t pb16a 5 bdq15 t ab10 pb16b 5 bdq15 c pb16b 5 bdq15 c af3 pb17a 5 bdq15 t pb17a 5 bdq15 t af4 pb17b 5 bdq15 c pb17b 5 bdq15 c vccio vccio5 5 vccio5 5 af5 pb18a 5 bdq15 t pb18a 5 bdq15 t af6 pb18b 5 bdq15 c pb18b 5 bdq15 c lfe2m35e/se and lfe2m50e/se logic signal connections: 672 fpbga lfe2m35e/se lfe2m50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-171 pinout information lattice semiconductor latticeecp2/m family data sheet y12 pb19a 5 bdq15 t pb19a 5 bdq15 t gndio gndio5 - gndio5 - ab11 pb19b 5 bdq15 c pb19b 5 bdq15 c - - - vccio5 5 - - - gndio5 - ad7 pb20a 5 bdq24 t pb29a 5 bdq33 t af7 pb20b 5 bdq24 c pb29b 5 bdq33 c ad8 pb21a 5 bdq24 t pb30a 5 bdq33 t aa12 pb21b 5 bdq24 c pb30b 5 bdq33 c ae8 pb22a 5 bdq24 t pb31a 5 bdq33 t vccio vccio5 5 vccio5 5 af8 pb22b 5 bdq24 c pb31b 5 bdq33 c ad9 pb23a 5 bdq24 t pb32a 5 bdq33 t ac10 pb23b 5 bdq24 c pb32b 5 bdq33 c ac11 pb24a 5 bdqs24 t pb33a 5 bdqs33 t gndio gndio5 - gndio5 - ab12 pb24b 5 bdq24 c pb33b 5 bdq33 c ad10 pb25a 5 bdq24 t pb34a 5 bdq33 t y13 pb25b 5 bdq24 c pb34b 5 bdq33 c af9 pb26a 5 bdq24 t pb35a 5 bdq33 t vccio vccio5 5 vccio5 5 ae9 pb26b 5 bdq24 c pb35b 5 bdq33 c af10 pb27a 5 bdq24 t pb36a 5 bdq33 t ae10 pb27b 5 bdq24 c pb36b 5 bdq33 c ad11 pb28a 5 bdq24 t pb37a 5 bdq33 t gndio gndio5 - gndio5 - af11 pb28b 5 bdq24 c pb37b 5 bdq33 c vccio vccio5 5 vccio5 5 gndio gndio5 - gndio5 - aa13 pb33a 5 bdqs33**** t pb42a 5 bdqs42**** t ab13 pb33b 5 bdq33 c pb42b 5 bdq42 c w 14 pb34a 5 vref2_5/bdq33 t pb43a 5 vref2_5/bdq42 t ac12 pb34b 5 vref1_5/bdq33 c pb43b 5 vref1_5/bdq42 c af12 pb35a 5 pclkt5_0/bdq33 t pb44a 5 pclkt5_0/bdq42 t ad12 pb35b 5 pclkc5_0/bdq33 c pb44b 5 pclkc5_0/bdq42 c vccio vccio5 5 vccio5 5 gndio gndio5 - gndio5 - ac13 pb40a 4 pclkt4_0/bdq42 t pb49a 4 pclkt4_0/bdq51 t vccio vccio4 4 vccio4 4 y14 pb40b 4 pclkc4_0/bdq42 c pb49b 4 pclkc4_0/bdq51 c ab20 pb57a 4 bdq60 t pb50a 4 vref2_4/bdq51 t ac14 pb41b 4 vref1_4/bdq42 c pb50b 4 vref1_4/bdq51 c ab14 pb42a 4 bdqs42**** t pb51a 4 bdqs51**** t gndio gndio4 - gndio4 - aa14 pb42b 4 bdq42 c pb51b 4 bdq51 c vccio vccio4 4 vccio4 4 gndio gndio4 - gndio4 - w 17 pb65a 4 bdq69 t pb56a 4 bdq60 t aa19 pb65b 4 bdq69 c pb56b 4 bdq60 c lfe2m35e/se and lfe2m50e/se logic signal connections: 672 fpbga lfe2m35e/se lfe2m50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-172 pinout information lattice semiconductor latticeecp2/m family data sheet ac15 pb48a 4 bdq51 t pb57a 4 bdq60 t y18 pb68b 4 bdq69 c pb57b 4 bdq60 c ab15 pb49a 4 bdq51 t pb58a 4 bdq60 t ac16 pb49b 4 bdq51 c pb58b 4 bdq60 c vccio vccio4 4 vccio4 4 aa17 pb60a 4 bdqs60**** t pb59a 4 bdq60 t ab16 pb50b 4 bdq51 c pb59b 4 bdq60 c gndio gndio4 - gndio4 - aa15 pb51a 4 bdqs51**** t pb60a 4 bdqs60 t w 16 pb59b 4 bdq60 c pb60b 4 bdq60 c y15 pb52a 4 bdq51 t pb61a 4 bdq60 t ac17 pb52b 4 bdq51 c pb61b 4 bdq60 c aa18 pb61a 4 bdq60 t pb62a 4 bdq60 t y17 pb61b 4 bdq60 c pb62b 4 bdq60 c - - - vccio4 4 gndio gndio4 - - - w 15 pb54a 4 bdq51 t pb63a 4 bdq60 t ab17 pb54b 4 bdq51 c pb63b 4 bdq60 c gndio gndio4 - gndio4 - vccio vccio4 4 vccio4 4 v17 pb73a 4 bdq69 t pb72a 4 bdq69 t aa20 pb73b 4 bdq69 c pb72b 4 bdq69 c gndio gndio4 - gndio4 - ad13 vcc - lrc_sq_vccrx3 13 af14 pb47a 4 bdq51 t lrc_sq_hdinp3 13 t ae13 nc - lrc_sq_vccib3 13 ae14 pb41a 4 vref2_4/bdq42 t lrc_sq_hdinn3 13 c ad16 vcc - lrc_sq_vcctx3 13 af17 pb51b 4 bdq51 c lrc_sq_hdoutp3 13 t af16 nc - lrc_sq_vccob3 13 ae17 pb50a 4 bdq51 t lrc_sq_hdoutn3 13 c ad17 vcc - lrc_sq_vcctx2 13 ae18 pb53b 4 bdq51 c lrc_sq_hdoutn2 13 c ad18 nc - lrc_sq_vccob2 13 af18 pb53a 4 bdq51 t lrc_sq_hdoutp2 13 t ad14 vcc - lrc_sq_vccrx2 13 ae15 pb48b 4 bdq51 c lrc_sq_hdinn2 13 c ad15 nc - lrc_sq_vccib2 13 af15 pb47b 4 bdq51 c lrc_sq_hdinp2 13 t ad19 vcc - lrc_sq_vccp 13 ac19 pb57b 4 bdq60 c lrc_sq_refclkp 13 t ab19 pb59a 4 bdq60 t lrc_sq_refclkn 13 c ae19 vccaux - lrc_sq_vccaux33 13 af23 pb64a 4 bdq60 t lrc_sq_hdinp1 13 t ad23 nc - lrc_sq_vccib1 13 ae23 pb66b 4 bdq69 c lrc_sq_hdinn1 13 c ad24 vcc - lrc_sq_vccrx1 13 af20 pb55a 4 bdq51 t lrc_sq_hdoutp1 13 t ad20 nc - lrc_sq_vccob1 13 lfe2m35e/se and lfe2m50e/se logic signal connections: 672 fpbga lfe2m35e/se lfe2m50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-173 pinout information lattice semiconductor latticeecp2/m family data sheet ae20 pb55b 4 bdq51 c lrc_sq_hdoutn1 13 c ad21 vcc - lrc_sq_vcctx1 13 ae21 pb63b 4 bdq60 c lrc_sq_hdoutn0 13 c af22 nc - lrc_sq_vccob0 13 af21 pb62a 4 bdq60 t lrc_sq_hdoutp0 13 t ad22 vcc - lrc_sq_vcctx0 13 ae24 pb67b 4 bdq69 c lrc_sq_hdinn0 13 c ae25 nc - lrc_sq_vccib0 13 af24 pb67a 4 bdq69 t lrc_sq_hdinp0 13 t ad25 vcc - lrc_sq_vccrx0 13 aa21 cfg2 8 cfg2 8 aa22 cfg1 8 cfg1 8 ab23 cfg0 8 cfg0 8 ac26 programn 8 programn 8 ab24 cclk 8 cclk 8 aa23 initn 8 initn 8 ab25 done 8 done 8 gndio gndio8 - gndio8 - y19 pr68b 8 w riten*** c w riten*** 8 y21 pr68a 8 cs1n*** t cs1n*** 8 ab26 pr67b 8 csn*** c csn*** 8 y22 pr67a 8 d0/spifastn*** t d0/spifastn*** 8 vccio vccio8 8 8 w 19 pr66b 8 d1*** c d1*** 8 y20 pr66a 8 d2*** t d2*** 8 w 22 pr65b 8 d3*** c d3*** 8 gndio gndio8 - - w 18 pr65a 8 d4*** t d4*** 8 y23 pr64b 8 d5*** c d5*** 8 aa24 pr64a 8 d6*** t d6*** 8 w 21 pr63b 8 d7*** c d7*** 8 vccio vccio8 8 vccio8 8 v20 pr63a 8 di/csspi0n*** t di/csspi0n*** 8 w 23 pr62b 8 dout/cson/csspi1n*** c dout/cson/ csspi1n*** 8 y24 pr62a 8 busy/sispi*** t busy/sispi*** 8 v19 rlm0_pllcap 3 rlm0_pllcap 3 v21 pr60b 3 rlm0_gdllc_fb_a c pr65b 3 rlm0_gdllc_fb_a c gndio gndio3 - gndio3 - u19 pr60a 3 rlm0_gdllt_fb_a/rdq57 t pr65a 3 rlm0_gdllt_fb_a t aa26 pr59b 3 rlm0_gdllc_in_a**/rdq57 c (lvds)* pr64b 3 rlm0_gdllc_in_a c* y26 pr59a 3 rlm0_gdllt_in_a**/rdq57 t (lvds)* pr64a 3 rlm0_gdllt_in_a t* v23 pr58b 3 rlm0_gpllc_in_a**/rdq57 c pr63b 3 rlm0_gpllc_in_a c vccio vccio3 3 vccio3 3 u20 pr58a 3 rlm0_gpllt_in_a**/rdq57 t pr63a 3 rlm0_gpllt_in_a t w 24 pr57b 3 rlm0_gpllc_fb_a/rdq57 c (lvds)* pr62b 3 rlm0_gpllc_fb_a c* v24 pr57a 3 rlm0_gpllt_fb_a/rdqs57 t (lvds)* pr62a 3 rlm0_gpllt_fb_a t* gndio gndio3 - gndio3 - u21 pr56a 3 rdq57 t pr60a 3 t w 25 pr55b 3 rdq57 c (lvds)* pr59b 3 c* lfe2m35e/se and lfe2m50e/se logic signal connections: 672 fpbga lfe2m35e/se lfe2m50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-174 pinout information lattice semiconductor latticeecp2/m family data sheet w 26 pr55a 3 rdq57 t (lvds)* pr59a 3 t* vccio vccio3 3 vccio3 3 u18 pr54b 3 rdq57 c pr58b 3 c u22 pr54a 3 rdq57 t pr58a 3 t v25 pr53b 3 rdq57 c (lvds)* pr57b 3 c* v26 pr53a 3 rdq57 t (lvds)* pr57a 3 t* u24 pr51b 3 rdq48 c pr55b 3 rdq52 c t24 pr51a 3 rdq48 t pr55a 3 rdq52 t gndio gndio3 - gndio3 - t22 pr50b 3 rdq48 c (lvds)* pr54b 3 rdq52 c* t23 pr50a 3 rdq48 t (lvds)* pr54a 3 rdq52 t* u25 pr49b 3 rdq48 c pr53b 3 rdq52 c u26 pr49a 3 rdq48 t pr53a 3 rdq52 t vccio vccio3 3 vccio3 3 t19 pr48b 3 rdq48 c (lvds)* pr52b 3 rdq52 c* r19 pr48a 3 rdqs48 t (lvds)* pr52a 3 rdqs52 t* r21 pr47b 3 rdq48 c pr51b 3 rdq52 c gndio gndio3 - gndio3 - r20 pr47a 3 rdq48 t pr51a 3 rdq52 t t26 pr46b 3 rdq48 c (lvds)* pr50b 3 rdq52 c* r26 pr46a 3 rdq48 t (lvds)* pr50a 3 rdq52 t* p21 pr45b 3 rdq48 c pr49b 3 rdq52 c vccio vccio3 3 vccio3 3 p19 pr45a 3 rdq48 t pr49a 3 rdq52 t r23 pr44b 3 rdq48 c (lvds)* pr48b 3 rdq52 c* r24 pr44a 3 rdq48 t (lvds)* pr48a 3 rdq52 t* - - - gndio3 - r22 pr42b 3 rlm2_spllc_fb_a c pr46b 3 rlm3_spllc_fb_a c vccio vccio3 3 vccio3 3 n19 pr42a 3 rlm2_spllt_fb_a t pr46a 3 rlm3_spllt_fb_a t p23 pr41b 3 rlm2_spllc_in_a c (lvds)* pr45b 3 rlm3_spllc_in_a c* p24 pr41a 3 rlm2_spllt_in_a t (lvds)* pr45a 3 rlm3_spllt_in_a t* gndio gndio3 - gndio3 - n21 pr40b 3 c pr44b 3 c p22 pr40a 3 t pr44a 3 t n20 pr39b 3 c (lvds)* pr43b 3 c* n22 pr39a 3 t (lvds)* pr43a 3 t* vccio vccio3 3 vccio3 3 p25 pr38b 3 vref2_3 c pr42b 3 vref2_3 c p26 pr38a 3 vref1_3 t pr42a 3 vref1_3 t m21 pr37b 3 pclkc3_0 c (lvds)* pr41b 3 pclkc3_0 c* n23 pr37a 3 pclkt3_0 t (lvds)* pr41a 3 pclkt3_0 t* n24 pr35b 2 pclkc2_0/rdq32 c pr39b 2 pclkc2_0/rdq36 c n25 pr35a 2 pclkt2_0/rdq32 t pr39a 2 pclkt2_0/rdq36 t gndio gndio2 - gndio2 - m22 pr34b 2 rdq32 c (lvds)* pr38b 2 rdq36 c* m24 pr34a 2 rdq32 t (lvds)* pr38a 2 rdq36 t* m23 pr33b 2 rdq32 c pr37b 2 rdq36 c n26 pr33a 2 rdq32 t pr37a 2 rdq36 t lfe2m35e/se and lfe2m50e/se logic signal connections: 672 fpbga lfe2m35e/se lfe2m50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-175 pinout information lattice semiconductor latticeecp2/m family data sheet vccio vccio2 2 vccio2 2 l22 pr32b 2 rdq32 c (lvds)* pr36b 2 rdq36 c* l24 pr32a 2 rdqs32 t (lvds)* pr36a 2 rdqs36 t* l23 pr31b 2 rdq32 c pr35b 2 rdq36 c gndio gndio2 - gndio2 - m20 pr31a 2 rdq32 t pr35a 2 rdq36 t m26 pr30b 2 rdq32 c (lvds)* pr34b 2 rdq36 c* l26 pr30a 2 rdq32 t (lvds)* pr34a 2 rdq36 t* k22 pr29b 2 rum1_spllc_fb_a/rdq32 c pr33b 2 rum3_spllc_fb_a/rdq36 c vccio vccio2 2 vccio2 2 m19 pr29a 2 rum1_spllt_fb_a/rdq32 t pr33a 2 rum3_spllt_fb_a/rdq36 t k25 pr28b 2 rum1_spllc_in_a/rdq32 c (lvds)* pr32b 2 rum3_spllc_in_a/rdq36 c* k26 pr28a 2 rum1_spllt_in_a/rdq32 t (lvds)* pr32a 2 rum3_spllt_in_a/rdq36 t* k24 pr26b 2 rdq23 c pr30b 2 rdq27 c k23 pr26a 2 rdq23 t pr30a 2 rdq27 t gndio gndio2 - gndio2 - l19 pr25b 2 rdq23 c (lvds)* pr29b 2 rdq27 c* k21 pr25a 2 rdq23 t (lvds)* pr29a 2 rdq27 t* j23 pr24b 2 rdq23 c pr28b 2 rdq27 c j24 pr24a 2 rdq23 t pr28a 2 rdq27 t vccio vccio2 2 vccio2 2 k20 pr23b 2 rdq23 c (lvds)* pr27b 2 rdq27 c* j21 pr23a 2 rdqs23 t (lvds)* pr27a 2 rdqs27 t* h21 pr22b 2 rdq23 c pr26b 2 rdq27 c gndio gndio2 - gndio2 - k18 pr22a 2 rdq23 t pr26a 2 rdq27 t h22 pr21b 2 rdq23 c (lvds)* pr25b 2 rdq27 c* j20 pr21a 2 rdq23 t (lvds)* pr25a 2 rdq27 t* j25 pr20b 2 rdq23 c pr24b 2 rdq27 c vccio vccio2 2 vccio2 2 j26 pr20a 2 rdq23 t pr24a 2 rdq27 t g21 pr19b 2 rdq23 c (lvds)* pr23b 2 rdq27 c* j19 pr19a 2 rdq23 t (lvds)* pr23a 2 rdq27 t* gndio gndio2 - gndio2 - h23 pr18b 2 rdq15 c pr21b 2 c h24 pr18a 2 rdq15 t pr21a 2 t h25 pr17b 2 rdq15 c (lvds)* pr20b 2 c* h26 pr17a 2 rdq15 t (lvds)* pr20a 2 t* vccio vccio2 2 vccio2 2 g22 pr16b 2 rdq15 c pr19b 2 c k19 pr16a 2 rdq15 t pr19a 2 t g24 pr15b 2 rdq15 c (lvds)* pr18b 2 c* g23 pr15a 2 rdqs15 t (lvds)* pr18a 2 t* gndio gndio2 - gndio2 - j18 pr14b 2 rdq15 c pr14b 2 c f22 pr14a 2 rdq15 t pr14a 2 t - - - vccio2 2 f23 pr13b 2 rdq15 c (lvds)* pr13b 2 c* f24 pr13a 2 rdq15 t (lvds)* pr13a 2 t* lfe2m35e/se and lfe2m50e/se logic signal connections: 672 fpbga lfe2m35e/se lfe2m50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-176 pinout information lattice semiconductor latticeecp2/m family data sheet vccio vccio2 2 - - h20 pr12b 2 rum0_spllc_fb_a/rdq15 c pr12b 2 rum0_spllc_fb_a c - - - gndio2 - f21 pr12a 2 rum0_spllt_fb_a/rdq15 t pr12a 2 rum0_spllt_fb_a t g26 pr11b 2 rum0_spllc_in_a/rdq15 c (lvds)* pr11b 2 rum0_spllc_in_a c* f26 pr11a 2 rum0_spllt_in_a/rdq15 t (lvds)* pr11a 2 rum0_spllt_in_a t* - - - vccio2 2 e24 pr9b 2 vref2_2 c pr9b 2 vref2_2 c gndio gndio2 - gndio2 - e23 pr9a 2 vref1_2 t pr9a 2 vref1_2 t vccio vccio4 4 vccio2 2 h19 xres - xres - c25 urc_sq_vccrx 0 12 urc_sq_vccrx0 12 a24 urc_sq_hdinp0 12 t urc_sq_hdinp0 12 t b25 urc_sq_vccib0 12 urc_sq_vccib0 12 b24 urc_sq_hdinn0 12 c urc_sq_hdinn0 12 c c22 urc_sq_vcctx 0 12 urc_sq_vcctx0 12 a21 urc_sq_hdout p0 12 t urc_sq_hdoutp0 12 t a22 urc_sq_vccob 0 12 urc_sq_vccob0 12 b21 urc_sq_hdout n0 12 c urc_sq_hdoutn0 12 c c21 urc_sq_vcctx 1 12 urc_sq_vcctx1 12 b20 urc_sq_hdout n1 12 c urc_sq_hdoutn1 12 c c20 urc_sq_vccob 1 12 urc_sq_vccob1 12 a20 urc_sq_hdout p1 12 t urc_sq_hdoutp1 12 t c24 urc_sq_vccrx 1 12 urc_sq_vccrx1 12 b23 urc_sq_hdinn1 12 c urc_sq_hdinn1 12 c c23 urc_sq_vccib1 12 urc_sq_vccib1 12 a23 urc_sq_hdinp1 12 t urc_sq_hdinp1 12 t b19 urc_sq_vccau x33 12 urc_sq_vccaux33 12 e19 urc_sq_refcl kn 12 c urc_sq_refclkn 12 c d19 urc_sq_refcl kp 12 t urc_sq_refclkp 12 t c19 urc_sq_vccp 12 urc_sq_vccp 12 a15 urc_sq_hdinp2 12 t urc_sq_hdinp2 12 t c15 urc_sq_vccib2 12 urc_sq_vccib2 12 b15 urc_sq_hdinn2 12 c urc_sq_hdinn2 12 c c14 urc_sq_vccrx 2 12 urc_sq_vccrx2 12 a18 urc_sq_hdout p2 12 t urc_sq_hdoutp2 12 t c18 urc_sq_vccob 2 12 urc_sq_vccob2 12 b18 urc_sq_hdout n2 12 c urc_sq_hdoutn2 12 c lfe2m35e/se and lfe2m50e/se logic signal connections: 672 fpbga lfe2m35e/se lfe2m50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-177 pinout information lattice semiconductor latticeecp2/m family data sheet c17 urc_sq_vcctx 2 12 urc_sq_vcctx2 12 b17 urc_sq_hdout n3 12 c urc_sq_hdoutn3 12 c a16 urc_sq_vccob 3 12 urc_sq_vccob3 12 a17 urc_sq_hdout p3 12 t urc_sq_hdoutp3 12 t c16 urc_sq_vcctx 3 12 urc_sq_vcctx3 12 b14 urc_sq_hdinn3 12 c urc_sq_hdinn3 12 c b13 urc_sq_vccib3 12 urc_sq_vccib3 12 a14 urc_sq_hdinp3 12 t urc_sq_hdinp3 12 t c13 urc_sq_vccrx 3 12 urc_sq_vccrx3 12 - - - gndio1 - - - - vccio1 1 e17 pt46b 1 c pt55b 1 c d17 pt46a 1 t pt55a 1 t gndio gndio1 - gndio1 - f17 pt45b 1 c pt54b 1 c d16 pt45a 1 t pt54a 1 t f19 pt44b 1 c pt53b 1 c f18 pt44a 1 t pt53a 1 t vccio vccio1 1 vccio1 1 e16 pt43b 1 c pt52b 1 c d15 pt43a 1 t pt52a 1 t g18 pt42b 1 c pt51b 1 c e15 pt42a 1 t pt51a 1 t gndio gndio1 - gndio1 - g17 pt41b 1 c pt50b 1 c e14 pt41a 1 t pt50a 1 t d14 pt40b 1 c pt49b 1 c d13 pt40a 1 t pt49a 1 t vccio vccio1 1 vccio1 1 f15 pt39b 1 vref2_1 c pt48b 1 vref2_1 c e12 pt39a 1 vref1_1 t pt48a 1 vref1_1 t h17 pt38b 1 pclkc1_0 c pt47b 1 pclkc1_0 c e13 pt38a 1 pclkt1_0 t pt47a 1 pclkt1_0 t c12 pt37b 0 pclkc0_0 c pt46b 0 pclkc0_0 c gndio gndio0 - gndio0 - g15 pt37a 0 pclkt0_0 t pt46a 0 pclkt0_0 t c11 pt36b 0 vref2_0 c pt45b 0 vref2_0 c f14 pt36a 0 vref1_0 t pt45a 0 vref1_0 t a12 pt35b 0 c pt44b 0 c vccio vccio0 0 vccio0 0 a11 pt35a 0 t pt44a 0 t d12 pt34b 0 c pt43b 0 c h16 pt34a 0 t pt43a 0 t h18 pt33b 0 c pt42b 0 c h15 pt33a 0 t pt42a 0 t a10 pt32b 0 c pt41b 0 c lfe2m35e/se and lfe2m50e/se logic signal connections: 672 fpbga lfe2m35e/se lfe2m50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-178 pinout information lattice semiconductor latticeecp2/m family data sheet gndio gndio0 - gndio0 - b10 pt32a 0 t pt41a 0 t d11 pt31b 0 c pt40b 0 c vccio vccio0 0 vccio0 0 g14 pt31a 0 t pt40a 0 t e11 pt30b 0 c pt39b 0 c f13 pt30a 0 t pt39a 0 t d10 pt29b 0 c pt38b 0 c h14 pt29a 0 t pt38a 0 t gndio gndio0 - gndio0 - vccio vccio0 0 vccio0 0 a9 pt24b 0 c pt24b 0 c c10 pt23b 0 c pt23b 0 c gndio gndio0 - gndio0 - e8 pt23a 0 t pt23a 0 t b9 pt22b 0 c pt22b 0 c a8 pt22a 0 t pt22a 0 t vccio vccio0 0 vccio0 0 f12 pt21b 0 c pt21b 0 c e10 pt21a 0 t pt21a 0 t g13 pt20b 0 c pt20b 0 c c9 pt20a 0 t pt20a 0 t b8 pt19b 0 c pt19b 0 c gndio gndio0 - gndio0 - a7 pt19a 0 t pt19a 0 t d9 pt18b 0 c pt18b 0 c h13 pt18a 0 t pt18a 0 t d6 pt17b 0 c pt17b 0 c c7 pt17a 0 t pt17a 0 t vccio vccio0 0 vccio0 0 c8 pt16b 0 c pt16b 0 c g12 pt16a 0 t pt16a 0 t d8 pt15b 0 c pt15b 0 c h12 pt15a 0 t pt15a 0 t gndio gndio0 - gndio0 - a6 pt14b 0 c pt14b 0 c a5 pt14a 0 t pt14a 0 t a4 pt13b 0 c pt13b 0 c a3 pt13a 0 t pt13a 0 t vccio vccio0 0 vccio0 0 c6 pt12b 0 c pt12b 0 c f10 pt12a 0 t pt12a 0 t d7 pt11b 0 c pt11b 0 c h11 pt11a 0 t pt11a 0 t d5 pt10b 0 c pt10b 0 c gndio gndio0 - gndio0 - e6 pt10a 0 t pt10a 0 t g10 pt9b 0 c pt9b 0 c f9 pt9a 0 t pt9a 0 t lfe2m35e/se and lfe2m50e/se logic signal connections: 672 fpbga lfe2m35e/se lfe2m50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-179 pinout information lattice semiconductor latticeecp2/m family data sheet h10 pt8b 0 c pt8b 0 c vccio vccio0 0 vccio0 0 e7 pt8a 0 t pt8a 0 t b3 pt7b 0 c pt7b 0 c c5 pt7a 0 t pt7a 0 t b2 pt6b 0 c pt6b 0 c c4 pt6a 0 t pt6a 0 t g9 pt5b 0 c pt5b 0 c gndio gndio0 - gndio0 - f7 pt5a 0 t pt5a 0 t c3 pt4b 0 c pt4b 0 c vccio vccio0 0 vccio0 0 d4 pt4a 0 t pt4a 0 t j10 pt3b 0 c pt3b 0 c f8 pt3a 0 t pt3a 0 t g8 pt2b 0 c pt2b 0 c g7 pt2a 0 t pt2a 0 t l12 vcc - vcc - l13 vcc - vcc - l14 vcc - vcc - l15 vcc - vcc - m11 vcc - vcc - m12 vcc - vcc - m15 vcc - vcc - m16 vcc - vcc - n11 vcc - vcc - n16 vcc - vcc - p11 vcc - vcc - p16 vcc - vcc - r11 vcc - vcc - r12 vcc - vcc - r15 vcc - vcc - r16 vcc - vcc - t12 vcc - vcc - t13 vcc - vcc - t14 vcc - vcc - t15 vcc - vcc - b12 vccio0 0 vccio0 0 b7 vccio0 0 vccio0 0 f11 vccio0 0 vccio0 0 j13 vccio0 0 vccio0 0 k12 vccio0 0 vccio0 1 d18 vccio1 1 vccio1 1 f16 vccio1 1 vccio1 1 j14 vccio1 1 vccio1 1 k15 vccio1 1 vccio1 1 g25 vccio2 2 vccio2 2 l21 vccio2 2 vccio2 2 m17 vccio2 2 vccio2 2 lfe2m35e/se and lfe2m50e/se logic signal connections: 672 fpbga lfe2m35e/se lfe2m50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-180 pinout information lattice semiconductor latticeecp2/m family data sheet m25 vccio2 2 vccio2 2 n18 vccio2 2 vccio2 2 p18 vccio3 3 vccio3 3 r17 vccio3 3 vccio3 3 r25 vccio3 3 vccio3 3 t21 vccio3 3 vccio3 3 y25 vccio3 3 vccio3 3 aa16 vccio4 4 vccio4 4 ac18 vccio4 4 vccio4 4 u15 vccio4 4 vccio4 4 v14 vccio4 4 vccio4 4 aa11 vccio5 5 vccio5 5 v13 vccio5 5 vccio5 5 ae12 vccio5 5 vccio5 5 ae7 vccio5 5 vccio5 5 u12 vccio5 5 vccio5 5 p9 vccio6 6 vccio6 6 r10 vccio6 6 vccio6 6 r2 vccio6 6 vccio6 6 t6 vccio6 6 vccio6 6 y2 vccio6 6 vccio6 6 g2 vccio7 7 vccio7 7 l6 vccio7 7 vccio7 7 m10 vccio7 7 vccio7 7 m2 vccio7 7 vccio7 7 n9 vccio7 7 vccio7 7 ac24 vccio8 8 vccio8 8 u17 vccio8 8 vccio8 8 j11 vccaux - vccaux - j12 vccaux - vccaux - j15 vccaux - vccaux - j16 vccaux - vccaux - l18 vccaux - vccaux - l9 vccaux - vccaux - m18 vccaux - vccaux - m9 vccaux - vccaux - r18 vccaux - vccaux - r9 vccaux - vccaux - t18 vccaux - vccaux - t9 vccaux - vccaux - v11 vccaux - vccaux - v12 vccaux - vccaux - v15 vccaux - vccaux - v16 vccaux - vccaux - a13 gnd - gnd - a19 gnd - gnd - a2 gnd - gnd - a25 gnd - gnd - aa2 gnd - gnd - lfe2m35e/se and lfe2m50e/se logic signal connections: 672 fpbga lfe2m35e/se lfe2m50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-181 pinout information lattice semiconductor latticeecp2/m family data sheet aa25 gnd - gnd - ab18 gnd - gnd - ab22 gnd - gnd - ab5 gnd - gnd - ab9 gnd - gnd - ae1 gnd - gnd - ae11 gnd - gnd - ae16 gnd - gnd - ae22 gnd - gnd - ae26 gnd - gnd - ae6 gnd - gnd - af13 gnd - gnd - af19 gnd - gnd - af2 gnd - gnd - af25 gnd - gnd - b1 gnd - gnd - b11 gnd - gnd - b16 gnd - gnd - b22 gnd - gnd - b26 gnd - gnd - b6 gnd - gnd - e18 gnd - gnd - e22 gnd - gnd - e5 gnd - gnd - e9 gnd - gnd - f2 gnd - gnd - f25 gnd - gnd - g11 gnd - gnd - g16 gnd - gnd - j22 gnd - gnd - j5 gnd - gnd - k11 gnd - gnd - k13 gnd - gnd - k14 gnd - gnd - k16 gnd - gnd - l10 gnd - gnd - l11 gnd - gnd - l16 gnd - gnd - l17 gnd - gnd - l2 gnd - gnd - l20 gnd - gnd - l25 gnd - gnd - l7 gnd - gnd - m13 gnd - gnd - m14 gnd - gnd - n10 gnd - gnd - n12 gnd - gnd - n13 gnd - gnd - n14 gnd - gnd - lfe2m35e/se and lfe2m50e/se logic signal connections: 672 fpbga lfe2m35e/se lfe2m50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-182 pinout information lattice semiconductor latticeecp2/m family data sheet n15 gnd - gnd - n17 gnd - gnd - p10 gnd - gnd - p12 gnd - gnd - p13 gnd - gnd - p14 gnd - gnd - p15 gnd - gnd - p17 gnd - gnd - r13 gnd - gnd - r14 gnd - gnd - t10 gnd - gnd - t11 gnd - gnd - t16 gnd - gnd - t17 gnd - gnd - t2 gnd - gnd - t20 gnd - gnd - t25 gnd - gnd - t7 gnd - gnd - u11 gnd - gnd - u13 gnd - gnd - u14 gnd - gnd - u16 gnd - gnd - v22 gnd - gnd - v5 gnd - gnd - y11 gnd - gnd - y16 gnd - gnd - ab3 nc - nc - ab4 nc - nc - ac1 nc - nc - ac2 nc - nc - b4 nc - nc - b5 nc - nc - c26 nc - nc - d20 nc - nc - d21 nc - nc - d22 nc - nc - d23 nc - nc - d24 nc - nc - d25 nc - nc - d26 nc - nc - e20 nc - nc - e21 nc - nc - e25 nc - nc - e26 nc - nc - f20 nc - nc - g20 nc - nc - k10 nc - nc - k17 nc - nc - r4 nc - nc - lfe2m35e/se and lfe2m50e/se logic signal connections: 672 fpbga lfe2m35e/se lfe2m50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-183 pinout information lattice semiconductor latticeecp2/m family data sheet u10 nc - nc - u23 nc - nc - v10 nc - nc - w 7 nc - nc - ab21 pb69b 4 bdq69 c nc - ac20 pb58a 4 bdq60 t nc - ac21 pb63a 4 bdq60 t nc - ac22 pb69a 4 bdqs69**** t nc - ac23 pb71a 4 bdq69 t nc - ac25 pb71b 4 bdq69 c nc - ad26 pb70b 4 bdq69 c nc - w 20 pb72b 4 bdq69 c nc - h7 l_vccpll - l_vccpll - k6 l_vccpll - l_vccpll - p7 l_vccpll - l_vccpll - r8 l_vccpll - l_vccpll - v18 r_vccpll - r_vccpll - p20 r_vccpll - r_vccpll - j17 r_vccpll - r_vccpll - g19 r_vccpll - r_vccpll - * supports true lvds. other differential signals must be emulated with external resistors. ** these dedicated input pins can be used for gplls or gdlls within the respective quadrant. *** for density migration, board design must take into account that these sysconfig pins are dual function for the lower densit y devices (ecp2m20 and ecp2m35) and are dedicated pins for the higher density devices (ecp2m50, ecp2m70 and ecp2m100). ****due to packaging bond out option, this dqs does not have all the necessary dq pins bonded out for a full 8-bit data width. note: vccio and gnd pads are used to determine the average dc current drawn by i/os between gnd/vccio connections, or between t he last gnd/vccio in an i/o bank and the end of an i/o bank. the substrate pads listed in the pin table do not necessarily have a one to one connection with a package ball or pin. lfe2m35e/se and lfe2m50e/se logic signal connections: 672 fpbga lfe2m35e/se lfe2m50e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-184 pinout information lattice semiconductor latticeecp2/m family data sheet lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential vccio vccio7 7 vccio7 7 d2 pl9a 7 vref2_7/ldq6 t pl9a 7 vref2_7 t d3 pl9b 7 vref1_7/ldq6 c pl9b 7 vref1_7 c gndio gndio7 - gndio7 - j8 pl11a 7 lum0_spllt_in_a t (lvds)* pl11a 7 lum0_spllt_in_a/ldq15 t (lvds)* h7 pl11b 7 lum0_spllc_in_a c (lvds)* pl11b 7 lum0_spllc_in_a/ldq15 c (lvds)* e3 pl12a 7 lum0_spllt_fb_a t pl12a 7 lum0_spllt_fb_a/ldq15 t e4 pl12b 7 lum0_spllc_fb_a c pl12b 7 lum0_spllc_fb_a/ldq15 c gndio gndio7 - - - - - - vccio7 7 g6 pl13a 7 t (lvds)* pl13a 7 ldq15 t (lvds)* f5 pl13b 7 c (lvds)* pl13b 7 ldq15 c (lvds)* e2 pl14a 7 t pl14a 7 ldq15 t d1 pl14b 7 c pl14b 7 ldq15 c - - - gndio7 - g5 nc - pl15a 7 ldqs15 t (lvds)* g4 nc - pl15b 7 ldq15 c (lvds)* k7 nc - pl16a 7 ldq15 t - - - vccio7 7 k8 nc - pl16b 7 ldq15 c e1 nc - pl17a 7 ldq15 t (lvds)* f2 nc - pl17b 7 ldq15 c (lvds)* f1 nc - pl18a 7 ldq15 t - - - gndio7 - g3 nc - pl18b 7 ldq15 c --- -- vccio vccio7 7 vccio7 7 h5 pl15a 7 t (lvds)* pl21a 7 t (lvds)* h4 pl15b 7 c (lvds)* pl21b 7 c (lvds)* j5 pl16a 7 t pl22a 7 t j4 pl16b 7 c pl22b 7 c gndio gndio7 - gndio7 - g2 nc - pl24a 7 ldq28 t (lvds)* g1 nc - pl24b 7 ldq28 c (lvds)* l9 nc - pl25a 7 ldq28 t l7 nc - pl25b 7 ldq28 c - - - vccio7 7 k6 nc - pl26a 7 ldq28 t (lvds)* k5 nc - pl26b 7 ldq28 c (lvds)* l8 nc - pl27a 7 ldq28 t l6 nc - pl27b 7 ldq28 c - - - gndio7 - h3 pl18a 7 t (lvds)* pl28a 7 ldqs28 t (lvds)* h2 pl18b 7 c (lvds)* pl28b 7 ldq28 c (lvds)* n8 pl19a 7 t pl29a 7 ldq28 t - - - vccio7 7 m9 pl19b 7 c pl29b 7 ldq28 c j3 pl20a 7 t (lvds)* pl30a 7 ldq28 t (lvds)* vccio vccio7 7 - -
4-185 pinout information lattice semiconductor latticeecp2/m family data sheet j2 pl20b 7 c (lvds)* pl30b 7 ldq28 c (lvds)* h1 pl21a 7 t pl31a 7 ldq28 t gndio gndio7 - gndio7 - j1 pl21b 7 c pl31b 7 ldq28 c --- -- --- -- l5 pl23a 7 ldq27 t (lvds)* pl33a 7 ldq37 t (lvds)* l4 pl23b 7 ldq27 c (lvds)* pl33b 7 ldq37 c (lvds)* n9 pl24a 7 ldq27 t pl34a 7 ldq37 t n7 pl24b 7 ldq27 c pl34b 7 ldq37 c vccio vccio7 7 vccio7 7 k2 pl25a 7 ldq27 t (lvds)* pl35a 7 ldq37 t (lvds)* k1 pl25b 7 ldq27 c (lvds)* pl35b 7 ldq37 c (lvds)* p9 pl26a 7 ldq27 t pl36a 7 ldq37 t p7 pl26b 7 ldq27 c pl36b 7 ldq37 c gndio gndio7 - gndio7 - m6 pl27a 7 ldqs27 t (lvds)* pl37a 7 ldqs37 t (lvds)* m5 pl27b 7 ldq27 c (lvds)* pl37b 7 ldq37 c (lvds)* n5 pl28a 7 ldq27 t pl38a 7 ldq37 t vccio vccio7 7 vccio7 7 n6 pl28b 7 ldq27 c pl38b 7 ldq37 c m4 pl29a 7 ldq27 t (lvds)* pl39a 7 ldq37 t (lvds)* m3 pl29b 7 ldq27 c (lvds)* pl39b 7 ldq37 c (lvds)* p6 pl30a 7 ldq27 t pl40a 7 ldq37 t gndio gndio7 - gndio7 - p8 pl30b 7 ldq27 c pl40b 7 ldq37 c l3 pl32a 7 lum3_spllt_in_a/ldq36 t (lvds)* pl42a 7 lum3_spllt_in_a/ldq46 t (lvds)* l2 pl32b 7 lum3_spllc_in_a/ldq36 c (lvds)* pl42b 7 lum3_spllc_in_a/ldq46 c (lvds)* p5 pl33a 7 lum3_spllt_fb_a/ldq36 t pl43a 7 lum3_spllt_fb_a/ldq46 t p4 pl33b 7 lum3_spllc_fb_a/ldq36 c pl43b 7 lum3_spllc_fb_a/ldq46 c vccio vccio7 7 vccio7 7 l1 pl34a 7 ldq36 t (lvds)* pl44a 7 ldq46 t (lvds)* m2 pl34b 7 ldq36 c (lvds)* pl44b 7 ldq46 c (lvds)* r5 pl35a 7 ldq36 t pl45a 7 ldq46 t r4 pl35b 7 ldq36 c pl45b 7 ldq46 c gndio gndio7 - gndio7 - m1 pl36a 7 ldqs36 t (lvds)* pl46a 7 ldqs46 t (lvds)* n2 pl36b 7 ldq36 c (lvds)* pl46b 7 ldq46 c (lvds)* r8 pl37a 7 ldq36 t pl47a 7 ldq46 t vccio vccio7 7 vccio7 7 t9 pl37b 7 ldq36 c pl47b 7 ldq46 c p3 pl38a 7 ldq36 t (lvds)* pl48a 7 ldq46 t (lvds)* p2 pl38b 7 ldq36 c (lvds)* pl48b 7 ldq46 c (lvds)* n1 pl39a 7 pclkt7_0/ldq36 t pl49a 7 pclkt7_0/ldq46 t gndio gndio7 - gndio7 - p1 pl39b 7 pclkc7_0/ldq36 c pl49b 7 pclkc7_0/ldq46 c t5 pl41a 6 pclkt6_0 t (lvds)* pl51a 6 pclkt6_0/ldq55 t (lvds)* t4 pl41b 6 pclkc6_0 c (lvds)* pl51b 6 pclkc6_0/ldq55 c (lvds)* u7 pl42a 6 vref2_6 t pl52a 6 vref2_6/ldq55 t lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-186 pinout information lattice semiconductor latticeecp2/m family data sheet t8 pl42b 6 vref1_6 c pl52b 6 vref1_6/ldq55 c r3 pl43a 6 t (lvds)* pl53a 6 ldq55 t (lvds)* vccio vccio6 6 vccio6 6 r2 pl43b 6 c (lvds)* pl53b 6 ldq55 c (lvds)* r1 pl44a 6 t pl54a 6 ldq55 t t1 pl44b 6 c pl54b 6 ldq55 c gndio gndio6 - gndio6 - - - - vccio6 6 t3 pl45a 6 llm3_spllt_in_a t (lvds)* pl57a 6 llm3_spllt_in_a/ldq55 t (lvds)* t2 pl45b 6 llm3_spllc_in_a c (lvds)* pl57b 6 llm3_spllc_in_a/ldq55 c (lvds)* u9 pl46a 6 llm3_spllt_fb_a t pl58a 6 llm3_spllt_fb_a/ldq55 t u8 pl46b 6 llm3_spllc_fb_a c pl58b 6 llm3_spllc_fb_a/ldq55 c vccio vccio6 6 gndio6 - u5 pl48a 6 ldq52 t (lvds)* pl60a 6 ldq64 t (lvds)* u4 pl48b 6 ldq52 c (lvds)* pl60b 6 ldq64 c (lvds)* v9 pl49a 6 ldq52 t pl61a 6 ldq64 t v7 pl49b 6 ldq52 c pl61b 6 ldq64 c vccio vccio6 6 vccio6 6 u3 pl50a 6 ldq52 t (lvds)* pl62a 6 ldq64 t (lvds)* u2 pl50b 6 ldq52 c (lvds)* pl62b 6 ldq64 c (lvds)* v8 pl51a 6 ldq52 t pl63a 6 ldq64 t u6 pl51b 6 ldq52 c pl63b 6 ldq64 c gndio gndio6 - gndio6 - u1 pl52a 6 ldqs52 t (lvds)* pl64a 6 ldqs64 t (lvds)* v2 pl52b 6 ldq52 c (lvds)* pl64b 6 ldq64 c (lvds)* v5 pl53a 6 ldq52 t pl65a 6 ldq64 t vccio vccio6 6 vccio6 6 v6 pl53b 6 ldq52 c pl65b 6 ldq64 c v1 pl54a 6 ldq52 t (lvds)* pl66a 6 ldq64 t (lvds)* w 1 pl54b 6 ldq52 c (lvds)* pl66b 6 ldq64 c (lvds)* w 5 pl55a 6 ldq52 t pl67a 6 ldq64 t gndio gndio6 - gndio6 - w 6 pl55b 6 ldq52 c pl67b 6 ldq64 c w 3 pl57a 6 t (lvds)* pl69a 6 ldq73 t (lvds)* w 4 pl57b 6 c (lvds)* pl69b 6 ldq73 c (lvds)* w 2 pl58a 6 t pl70a 6 ldq73 t y4 pl58b 6 c pl70b 6 ldq73 c y1 pl59a 6 t (lvds)* pl71a 6 ldq73 t (lvds)* vccio vccio6 6 vccio6 6 y2 pl59b 6 c (lvds)* pl71b 6 ldq73 c (lvds)* y5 pl60a 6 t pl72a 6 ldq73 t y6 pl60b 6 c pl72b 6 ldq73 c aa1 nc - pl73a 6 ldqs73 t (lvds)* gndio gndio6 - gndio6 - aa2 nc - pl73b 6 ldq73 c (lvds)* y3 nc - pl74a 6 ldq73 t ab1 nc - pl74b 6 ldq73 c - - - vccio6 6 y9 nc - pl75a 6 ldq73 t (lvds)* lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-187 pinout information lattice semiconductor latticeecp2/m family data sheet y8 nc - pl75b 6 ldq73 c (lvds)* y7 nc - pl76a 6 ldq73 t aa7 nc - pl76b 6 ldq73 c - - - gndio6 - --- -- ab2 nc - pl78a 6 ldq82 t (lvds)* ab3 nc - pl78b 6 ldq82 c (lvds)* aa5 nc - pl79a 6 ldq82 t aa6 nc - pl79b 6 ldq82 c ab4 nc - pl80a 6 ldq82 t (lvds)* - - - vccio6 6 ab5 nc - pl80b 6 ldq82 c (lvds)* aa8 nc - pl81a 6 ldq82 t aa9 nc - pl81b 6 ldq82 c ac1 pl62a 6 llm0_gpllt_in_a** t (lvds)* pl82a 6 llm0_gpllt_in_a**/ldqs82 t (lvds)* gndio gndio6 - gndio6 - ac2 pl62b 6 llm0_gpllc_in_a** c (lvds)* pl82b 6 llm0_gpllc_in_a**/ldq82 c (lvds)* ac4 pl63a 6 llm0_gpllt_fb_a t pl83a 6 llm0_gpllt_fb_a/ldq82 t ac3 pl63b 6 llm0_gpllc_fb_a c pl83b 6 llm0_gpllc_fb_a/ldq82 c vccio vccio6 6 vccio6 6 ac7 pl64a 6 llm0_gdllt_in_a** t (lvds)* pl84a 6 llm0_gdllt_in_a**/ldq82 t (lvds)* ac6 pl64b 6 llm0_gdllc_in_a** c (lvds)* pl84b 6 llm0_gdllc_in_a**/ldq82 c (lvds)* ac5 pl65a 6 llm0_gdllt_fb_a t pl85a 6 llm0_gdllt_fb_a/ldq82 t ad3 pl65b 6 llm0_gdllc_fb_a c pl85b 6 llm0_gdllc_fb_a/ldq82 c gndio gndio6 - gndio6 - ab8 llm0_pllcap 6 llm0_pllcap 6 ad2 pl67a 6 ldq71 t (lvds)* pl87a 6 t ad1 pl67b 6 ldq71 c (lvds)* pl87b 6 c ae2 tck - tck - ae1 tdi - tdi - af2 tms - tms - af1 tdo - tdo - ag1 vccj - vccj - ah1 vcc - llc_sq_vccrx3 14 ak2 pb11a 5 bdq15 t llc_sq_hdinp3 14 t aj1 nc - llc_sq_vccib3 14 aj2 pb11b 5 bdq15 c llc_sq_hdinn3 14 c ah4 vcc - llc_sq_vcctx3 14 ak5 pb13a 5 bdq15 t llc_sq_hdoutp3 14 t ak4 nc - llc_sq_vccob3 14 aj5 pb13b 5 bdq15 c llc_sq_hdoutn3 14 c ah5 vcc - llc_sq_vcctx2 14 aj6 pb14b 5 bdq15 c llc_sq_hdoutn2 14 c ah6 nc - llc_sq_vccob2 14 ak6 pb14a 5 bdq15 t llc_sq_hdoutp2 14 t ah2 vcc - llc_sq_vccrx2 14 aj3 pb12b 5 bdq15 c llc_sq_hdinn2 14 c ah3 nc - llc_sq_vccib2 14 ak3 pb12a 5 bdq15 t llc_sq_hdinp2 14 t lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-188 pinout information lattice semiconductor latticeecp2/m family data sheet ah7 vcc - llc_sq_vccp 14 ag7 pb15a 5 bdqs15 t llc_sq_refclkp 14 t af7 pb15b 5 bdq15 c llc_sq_refclkn 14 c aj7 vccaux - llc_sq_vccaux33 14 ak11 pb18a 5 bdq15 t llc_sq_hdinp1 14 t ah11 nc - llc_sq_vccib1 14 aj11 pb18b 5 bdq15 c llc_sq_hdinn1 14 c ah12 vcc - llc_sq_vccrx1 14 ak8 pb16a 5 bdq15 t llc_sq_hdoutp1 14 t ah8 nc - llc_sq_vccob1 14 aj8 pb16b 5 bdq15 c llc_sq_hdoutn1 14 c ah9 vcc - llc_sq_vcctx1 14 aj9 pb17b 5 bdq15 c llc_sq_hdoutn0 14 c ak10 nc - llc_sq_vccob0 14 ak9 pb17a 5 bdq15 t llc_sq_hdoutp0 14 t ah10 vcc - llc_sq_vcctx0 14 aj12 pb19b 5 bdq15 c llc_sq_hdinn0 14 c aj13 nc - llc_sq_vccib0 14 ak12 pb19a 5 bdq15 t llc_sq_hdinp0 14 t ah13 vcc - llc_sq_vccrx0 14 af10 pb3a 5 bdq6 t pb30a 5 bdq33 t ae8 pb3b 5 bdq6 c pb30b 5 bdq33 c ae11 pb4a 5 bdq6 t pb31a 5 bdq33 t vccio vccio5 5 vccio5 5 ad9 pb4b 5 bdq6 c pb31b 5 bdq33 c ae10 pb5a 5 bdq6 t pb32a 5 bdq33 t ad10 pb5b 5 bdq6 c pb32b 5 bdq33 c ae13 pb6a 5 bdqs6 t pb33a 5 bdqs33 t gndio gndio5 - gndio5 - ac12 pb6b 5 bdq6 c pb33b 5 bdq33 c ag2 pb7a 5 bdq6 t pb34a 5 bdq33 t ag3 pb7b 5 bdq6 c pb34b 5 bdq33 c ad13 pb8a 5 bdq6 t pb35a 5 bdq33 t vccio vccio5 5 vccio5 5 ac13 pb8b 5 bdq6 c pb35b 5 bdq33 c ae14 pb9a 5 bdq6 t pb36a 5 bdq33 t ac14 pb9b 5 bdq6 c pb36b 5 bdq33 c af3 pb10a 5 bdq6 t pb37a 5 bdq33 t gndio gndio5 - gndio5 - af4 pb10b 5 bdq6 c pb37b 5 bdq33 c vccio vccio5 5 - - ag4 pb20a 5 bdq24 t pb38a 5 bdq42 t ag5 pb20b 5 bdq24 c pb38b 5 bdq42 c gndio gndio5 - - - vccio vccio5 5 - - ad11 pb24a 5 bdqs24**** t pb39a 5 bdq42 t af13 pb24b 5 bdq24 c pb39b 5 bdq42 c af12 pb25a 5 bdq24 t pb40a 5 bdq42 t - - - vccio5 5 lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-189 pinout information lattice semiconductor latticeecp2/m family data sheet ad14 pb25b 5 bdq24 c pb40b 5 bdq42 c ag8 pb26a 5 bdq24 t pb41a 5 bdq42 t af8 pb26b 5 bdq24 c pb41b 5 bdq42 c ae15 pb27a 5 bdq24 t pb42a 5 bdqs42**** t - - - gndio5 - vccio vccio5 5 - - ac15 pb27b 5 bdq24 c pb42b 5 bdq42 c vccio vccio5 5 vccio5 5 gndio gndio5 - gndio5 - ad15 pb38a 5 bdq42 t pb47a 5 bdq51 t af15 pb38b 5 bdq42 c pb47b 5 bdq51 c ag10 pb39a 5 bdq42 t pb48a 5 bdq51 t ag9 pb39b 5 bdq42 c pb48b 5 bdq51 c ah14 pb40a 5 bdq42 t pb49a 5 bdq51 t ag12 pb40b 5 bdq42 c pb49b 5 bdq51 c vccio vccio5 5 vccio5 5 ag15 pb41a 5 bdq42 t pb50a 5 bdq51 t ag13 pb41b 5 bdq42 c pb50b 5 bdq51 c gndio gndio5 - gndio5 - af16 pb42a 5 bdqs42 t pb51a 5 bdqs51 t ah15 pb42b 5 bdq42 c pb51b 5 bdq51 c ac16 pb43a 5 vref2_5/bdq42 t pb52a 5 vref2_5/bdq51 t ae16 pb43b 5 vref1_5/bdq42 c pb52b 5 vref1_5/bdq51 c ag11 pb44a 5 pclkt5_0/bdq42 t pb53a 5 pclkt5_0/bdq51 t af11 pb44b 5 pclkc5_0/bdq42 c pb53b 5 pclkc5_0/bdq51 c vccio vccio5 5 vccio5 5 gndio gndio5 - gndio5 - aj14 pb49a 4 pclkt4_0/bdq51 t pb58a 4 pclkt4_0/bdq60 t vccio vccio4 4 vccio4 4 ak14 pb49b 4 pclkc4_0/bdq51 c pb58b 4 pclkc4_0/bdq60 c ak15 pb50a 4 vref2_4/bdq51 t pb59a 4 vref2_4/bdq60 t ak16 pb50b 4 vref1_4/bdq51 c pb59b 4 vref1_4/bdq60 c af18 pb51a 4 bdqs51 t pb60a 4 bdqs60 t gndio gndio4 - gndio4 - ad16 pb51b 4 bdq51 c pb60b 4 bdq60 c aj15 pb52a 4 bdq51 t pb61a 4 bdq60 t ag16 pb52b 4 bdq51 c pb61b 4 bdq60 c ae17 pb53a 4 bdq51 t pb62a 4 bdq60 t vccio vccio4 4 vccio4 4 ac17 pb53b 4 bdq51 c pb62b 4 bdq60 c ah16 pb54a 4 bdq51 t pb63a 4 bdq60 t ak17 pb54b 4 bdq51 c pb63b 4 bdq60 c ag20 pb55a 4 bdq51 t pb64a 4 bdq60 t gndio gndio4 - gndio4 - ag21 pb55b 4 bdq51 c pb64b 4 bdq60 c ag18 pb56a 4 bdq60 t pb65a 4 bdq69 t aj16 pb56b 4 bdq60 c pb65b 4 bdq69 c af21 pb57a 4 bdq60 t pb66a 4 bdq69 t ag22 pb57b 4 bdq60 c pb66b 4 bdq69 c lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-190 pinout information lattice semiconductor latticeecp2/m family data sheet ad17 pb58a 4 bdq60 t pb67a 4 bdq69 t af19 pb58b 4 bdq60 c pb67b 4 bdq69 c vccio vccio4 4 vccio4 4 gndio gndio4 - gndio4 - ah17 pb62a 4 bdq60 t pb71a 4 bdq69 t aj17 pb62b 4 bdq60 c pb71b 4 bdq69 c vccio vccio4 4 vccio4 4 af26 pb64a 4 bdq60 t pb73a 4 bdq69 t ae25 pb64b 4 bdq60 c pb73b 4 bdq69 c gndio gndio4 - gndio4 - ad24 pb65a 4 bdq69 t pb74a 4 bdq78 t ae24 pb65b 4 bdq69 c pb74b 4 bdq78 c ad18 pb66a 4 bdq69 t pb75a 4 bdq78 t ac18 pb66b 4 bdq69 c pb75b 4 bdq78 c ae18 pb67a 4 bdq69 t pb76a 4 bdq78 t ag19 pb67b 4 bdq69 c pb76b 4 bdq78 c vccio vccio4 4 vccio4 4 gndio gndio4 - gndio4 - ac19 pb69a 4 bdqs69 t pb78a 4 bdqs78 t ad20 pb69b 4 bdq69 c pb78b 4 bdq78 c ab18 pb70a 4 bdq69 t pb79a 4 bdq78 t ac20 pb70b 4 bdq69 c pb79b 4 bdq78 c ae20 pb71a 4 bdq69 t pb80a 4 bdq78 t ae21 pb71b 4 bdq69 c pb80b 4 bdq78 c vccio vccio4 4 vccio4 4 ac23 pb72a 4 bdq69 t pb81a 4 bdq78 t ad23 pb72b 4 bdq69 c pb81b 4 bdq78 c gndio gndio4 - gndio4 - ah18 lrc_sq_vccrx3 13 lrc_sq_vccrx3 13 ak19 lrc_sq_hdinp3 13 t lrc_sq_hdinp3 13 t aj18 lrc_sq_vccib3 13 lrc_sq_vccib3 13 aj19 lrc_sq_hdinn3 13 c lrc_sq_hdinn3 13 c ah21 lrc_sq_vcctx3 13 lrc_sq_vcctx3 13 ak22 lrc_sq_hdoutp3 13 t lrc_sq_hdoutp3 13 t ak21 lrc_sq_vccob3 13 lrc_sq_vccob3 13 aj22 lrc_sq_hdoutn3 13 c lrc_sq_hdoutn3 13 c ah22 lrc_sq_vcctx2 13 lrc_sq_vcctx2 13 aj23 lrc_sq_hdoutn2 13 c lrc_sq_hdoutn2 13 c ah23 lrc_sq_vccob2 13 lrc_sq_vccob2 13 ak23 lrc_sq_hdoutp2 13 t lrc_sq_hdoutp2 13 t ah19 lrc_sq_vccrx2 13 lrc_sq_vccrx2 13 aj20 lrc_sq_hdinn2 13 c lrc_sq_hdinn2 13 c ah20 lrc_sq_vccib2 13 lrc_sq_vccib2 13 ak20 lrc_sq_hdinp2 13 t lrc_sq_hdinp2 13 t ah24 lrc_sq_vccp 13 lrc_sq_vccp 13 ag24 lrc_sq_refclkp 13 t lrc_sq_refclkp 13 t af24 lrc_sq_refclkn 13 c lrc_sq_refclkn 13 c aj24 lrc_sq_vccaux33 13 lrc_sq_vccaux33 13 ak28 lrc_sq_hdinp1 13 t lrc_sq_hdinp1 13 t lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-191 pinout information lattice semiconductor latticeecp2/m family data sheet ah28 lrc_sq_vccib1 13 lrc_sq_vccib1 13 aj28 lrc_sq_hdinn1 13 c lrc_sq_hdinn1 13 c ah29 lrc_sq_vccrx1 13 lrc_sq_vccrx1 13 ak25 lrc_sq_hdoutp1 13 t lrc_sq_hdoutp1 13 t ah25 lrc_sq_vccob1 13 lrc_sq_vccob1 13 aj25 lrc_sq_hdoutn1 13 c lrc_sq_hdoutn1 13 c ah26 lrc_sq_vcctx1 13 lrc_sq_vcctx1 13 aj26 lrc_sq_hdoutn0 13 c lrc_sq_hdoutn0 13 c ak27 lrc_sq_vccob0 13 lrc_sq_vccob0 13 ak26 lrc_sq_hdoutp0 13 t lrc_sq_hdoutp0 13 t ah27 lrc_sq_vcctx0 13 lrc_sq_vcctx0 13 aj29 lrc_sq_hdinn0 13 c lrc_sq_hdinn0 13 c aj30 lrc_sq_vccib0 13 lrc_sq_vccib0 13 ak29 lrc_sq_hdinp0 13 t lrc_sq_hdinp0 13 t ah30 lrc_sq_vccrx0 13 lrc_sq_vccrx0 13 ag27 cfg2 8 cfg2 8 ad25 cfg1 8 cfg1 8 ag28 cfg0 8 cfg0 8 ag30 programn 8 programn 8 ag29 cclk 8 cclk 8 ac24 initn 8 initn 8 af27 done 8 done 8 gndio gndio8 - gndio8 - af28 w riten*** 8 w riten*** 8 ae26 cs1n*** 8 cs1n*** 8 ab23 csn*** 8 csn*** 8 af29 d0/spifastn*** 8 d0/spifastn*** 8 vccio vccio8 8 vccio8 8 af30 d1*** 8 d1*** 8 ad26 d2*** 8 d2*** 8 ae29 d3*** 8 d3*** 8 gndio gndio8 - gndio8 - ae30 d4*** 8 d4*** 8 ad29 d5*** 8 d5*** 8 ac25 d6*** 8 d6*** 8 ad30 d7*** 8 d7*** 8 vccio vccio8 8 vccio8 8 aa22 di/csspi0n*** 8 di/csspi0n*** 8 ac26 dout/cson/ csspi1n*** 8 dout/cson/ csspi1n*** 8 aa23 busy/sispi*** 8 busy/sispi*** 8 ab22 rlm0_pllcap 3 rlm0_pllcap 3 ac27 pr65b 3 rlm0_gdllc_fb_a c pr85b 3 rlm0_gdllc_fb_a/rdq82 c gndio gndio3 - gndio3 - ac28 pr65a 3 rlm0_gdllt_fb_a t pr85a 3 rlm0_gdllt_fb_a/rdq82 t ac29 pr64b 3 rlm0_gdllc_in_a** c (lvds)* pr84b 3 rlm0_gdllc_in_a**/rdq82 c (lvds)* ac30 pr64a 3 rlm0_gdllt_in_a** t (lvds)* pr84a 3 rlm0_gdllt_in_a**/rdq82 t (lvds)* ab30 pr63b 3 rlm0_gpllc_in_a** c pr83b 3 rlm0_gpllc_in_a**/rdq82 c vccio vccio3 3 vccio3 3 aa30 pr63a 3 rlm0_gpllt_in_a** t pr83a 3 rlm0_gpllt_in_a**/rdq82 t lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-192 pinout information lattice semiconductor latticeecp2/m family data sheet ab29 pr62b 3 rlm0_gpllc_fb_a c (lvds)* pr82b 3 rlm0_gpllc_fb_a/rdq82 c (lvds)* ab28 pr62a 3 rlm0_gpllt_fb_a t (lvds)* pr82a 3 rlm0_gpllt_fb_a/rdqs82 t (lvds)* gndio gndio3 - gndio3 - y22 pr60b 3 c pr81b 3 rdq82 c y23 pr60a 3 t pr81a 3 rdq82 t ab26 nc - pr80b 3 rdq82 c (lvds)* ab27 nc - pr80a 3 rdq82 t (lvds)* - - - vccio3 3 y24 nc - pr79b 3 rdq82 c y25 nc - pr79a 3 rdq82 t aa29 nc - pr78b 3 rdq82 c (lvds)* y28 nc - pr78a 3 rdq82 t (lvds)* y30 nc - pr76b 3 rdq73 c y29 nc - pr76a 3 rdq73 t - - - gndio3 - --- -- w 22 nc - pr75b 3 rdq73 c (lvds)* v22 nc - pr75a 3 rdq73 t (lvds)* y27 nc - pr74b 3 rdq73 c - - - vccio3 3 y26 nc - pr74a 3 rdq73 t w 30 nc - pr73b 3 rdq73 c (lvds)* w 29 nc - pr73a 3 rdqs73 t (lvds)* - - - gndio3 - w 25 nc - pr72b 3 rdq73 c w 26 nc - pr72a 3 rdq73 t u29 pr59b 3 c (lvds)* pr71b 3 rdq73 c (lvds)* v29 pr59a 3 t (lvds)* pr71a 3 rdq73 t (lvds)* vccio vccio3 3 vccio3 3 v30 pr58b 3 c pr70b 3 rdq73 c u30 pr58a 3 t pr70a 3 rdq73 t w 27 pr57b 3 c (lvds)* pr69b 3 rdq73 c (lvds)* w 28 pr57a 3 t (lvds)* pr69a 3 rdq73 t (lvds)* v24 pr55b 3 rdq52 c pr67b 3 rdq64 c v25 pr55a 3 rdq52 t pr67a 3 rdq64 t gndio gndio3 - gndio3 - u28 pr54b 3 rdq52 c (lvds)* pr66b 3 rdq64 c (lvds)* u27 pr54a 3 rdq52 t (lvds)* pr66a 3 rdq64 t (lvds)* u23 pr53b 3 rdq52 c pr65b 3 rdq64 c v23 pr53a 3 rdq52 t pr65a 3 rdq64 t vccio vccio3 3 vccio3 3 v26 pr52b 3 rdq52 c (lvds)* pr64b 3 rdq64 c (lvds)* u26 pr52a 3 rdqs52 t (lvds)* pr64a 3 rdqs64 t (lvds)* u25 pr51b 3 rdq52 c pr63b 3 rdq64 c gndio gndio3 - gndio3 - u24 pr51a 3 rdq52 t pr63a 3 rdq64 t t30 pr50b 3 rdq52 c (lvds)* pr62b 3 rdq64 c (lvds)* r30 pr50a 3 rdq52 t (lvds)* pr62a 3 rdq64 t (lvds)* t23 pr49b 3 rdq52 c pr61b 3 rdq64 c lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-193 pinout information lattice semiconductor latticeecp2/m family data sheet vccio vccio3 3 vccio3 3 t22 pr49a 3 rdq52 t pr61a 3 rdq64 t t29 pr48b 3 rdq52 c (lvds)* pr60b 3 rdq64 c (lvds)* t28 pr48a 3 rdq52 t (lvds)* pr60a 3 rdq64 t (lvds)* r23 pr46b 3 rlm3_spllc_fb_a c pr58b 3 rlm3_spllc_fb_a/rdq55 c gndio gndio3 - gndio3 - vccio vccio3 3 - - r22 pr46a 3 rlm3_spllt_fb_a t pr58a 3 rlm3_spllt_fb_a/rdq55 t p30 pr45b 3 rlm3_spllc_in_a c (lvds)* pr57b 3 rlm3_spllc_in_a/rdq55 c (lvds)* r29 pr45a 3 rlm3_spllt_in_a t (lvds)* pr57a 3 rlm3_spllt_in_a/rdq55 t (lvds)* t27 pr44b 3 c pr56b 3 rdq55 c - - - vccio3 3 t26 pr44a 3 t pr56a 3 rdq55 t gndio gndio3 - gndio3 - n30 pr43b 3 c (lvds)* pr53b 3 rdq55 c (lvds)* n29 pr43a 3 t (lvds)* pr53a 3 rdq55 t (lvds)* vccio vccio3 3 vccio3 3 r27 pr42b 3 vref2_3 c pr52b 3 vref2_3/rdq55 c r28 pr42a 3 vref1_3 t pr52a 3 vref1_3/rdq55 t p29 pr41b 3 pclkc3_0 c (lvds)* pr51b 3 pclkc3_0/rdq55 c (lvds)* p28 pr41a 3 pclkt3_0 t (lvds)* pr51a 3 pclkt3_0/rdq55 t (lvds)* m30 pr39b 2 pclkc2_0/rdq36 c pr49b 2 pclkc2_0/rdq46 c m29 pr39a 2 pclkt2_0/rdq36 t pr49a 2 pclkt2_0/rdq46 t gndio gndio2 - gndio2 - p23 pr38b 2 rdq36 c (lvds)* pr48b 2 rdq46 c (lvds)* p24 pr38a 2 rdq36 t (lvds)* pr48a 2 rdq46 t (lvds)* r26 pr37b 2 rdq36 c pr47b 2 rdq46 c p27 pr37a 2 rdq36 t pr47a 2 rdq46 t vccio vccio2 2 vccio2 2 p25 pr36b 2 rdq36 c (lvds)* pr46b 2 rdq46 c (lvds)* p26 pr36a 2 rdqs36 t (lvds)* pr46a 2 rdqs46 t (lvds)* k30 pr35b 2 rdq36 c pr45b 2 rdq46 c gndio gndio2 - gndio2 - k29 pr35a 2 rdq36 t pr45a 2 rdq46 t n22 pr34b 2 rdq36 c (lvds)* pr44b 2 rdq46 c (lvds)* p22 pr34a 2 rdq36 t (lvds)* pr44a 2 rdq46 t (lvds)* j30 pr33b 2 rum3_spllc_fb_a/rdq36 c pr43b 2 rum3_spllc_fb_a/rdq46 c vccio vccio2 2 vccio2 2 j29 pr33a 2 rum3_spllt_fb_a/rdq36 t pr43a 2 rum3_spllt_fb_a/rdq46 t n24 pr32b 2 rum3_spllc_in_a/rdq36 c (lvds)* pr42b 2 rum3_spllc_in_a/rdq46 c (lvds)* n23 pr32a 2 rum3_spllt_in_a/rdq36 t (lvds)* pr42a 2 rum3_spllt_in_a/rdq46 t (lvds)* n25 pr30b 2 rdq27 c pr40b 2 rdq37 c n26 pr30a 2 rdq27 t pr40a 2 rdq37 t gndio gndio2 - gndio2 - m27 pr29b 2 rdq27 c (lvds)* pr39b 2 rdq37 c (lvds)* m28 pr29a 2 rdq27 t (lvds)* pr39a 2 rdq37 t (lvds)* h30 pr28b 2 rdq27 c pr38b 2 rdq37 c g30 pr28a 2 rdq27 t pr38a 2 rdq37 t vccio vccio2 2 vccio2 2 lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-194 pinout information lattice semiconductor latticeecp2/m family data sheet m25 pr27b 2 rdq27 c (lvds)* pr37b 2 rdq37 c (lvds)* m26 pr27a 2 rdqs27 t (lvds)* pr37a 2 rdqs37 t (lvds)* l30 pr26b 2 rdq27 c pr36b 2 rdq37 c gndio gndio2 - gndio2 - l29 pr26a 2 rdq27 t pr36a 2 rdq37 t l28 pr25b 2 rdq27 c (lvds)* pr35b 2 rdq37 c (lvds)* l27 pr25a 2 rdq27 t (lvds)* pr35a 2 rdq37 t (lvds)* h29 pr24b 2 rdq27 c pr34b 2 rdq37 c vccio vccio2 2 vccio2 2 g29 pr24a 2 rdq27 t pr34a 2 rdq37 t l22 pr23b 2 rdq27 c (lvds)* pr33b 2 rdq37 c (lvds)* m22 pr23a 2 rdq27 t (lvds)* pr33a 2 rdq37 t (lvds)* f30 pr21b 2 c pr31b 2 rdq28 c gndio gndio2 - gndio2 - f29 pr21a 2 t pr31a 2 rdq28 t --- -- --- -- e30 pr20b 2 c (lvds)* pr30b 2 rdq28 c (lvds)* e29 pr20a 2 t (lvds)* pr30a 2 rdq28 t (lvds)* vccio vccio2 2 - - l25 pr19b 2 c pr29b 2 rdq28 c l26 pr19a 2 t pr29a 2 rdq28 t - - - vccio2 2 h28 pr18b 2 c (lvds)* pr28b 2 rdq28 c (lvds)* j28 pr18a 2 t (lvds)* pr28a 2 rdqs28 t (lvds)* g28 pr16b 2 c pr27b 2 rdq28 c gndio gndio2 - gndio2 - g27 pr16a 2 t pr27a 2 rdq28 t l24 nc - pr26b 2 rdq28 c (lvds)* l23 nc - pr26a 2 rdq28 t (lvds)* d30 nc - pr25b 2 rdq28 c - - - vccio2 2 d29 nc - pr25a 2 rdq28 t k24 nc - pr24b 2 rdq28 c (lvds)* k25 nc - pr24a 2 rdq28 t (lvds)* j27 nc - pr22b 2 c - - - gndio2 - k26 nc - pr22a 2 t k23 pr15b 2 c (lvds)* pr21b 2 c (lvds)* k22 pr15a 2 t (lvds)* pr21a 2 t (lvds)* j22 pr14b 2 c pr20b 2 c vccio vccio2 - vccio2 2 j23 pr14a 2 t pr20a 2 t - - - gndio2 - --- -- j26 nc - pr17b 2 rdq15 c (lvds)* h26 nc - pr17a 2 rdq15 t (lvds)* h27 nc - pr16b 2 rdq15 c g26 nc - pr16a 2 rdq15 t lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-195 pinout information lattice semiconductor latticeecp2/m family data sheet - - - vccio2 2 h23 nc - pr15b 2 rdq15 c (lvds)* h24 nc - pr15a 2 rdqs15 t (lvds)* d28 nc - pr14b 2 rdq15 c - - - gndio2 - e28 nc - pr14a 2 rdq15 t g24 pr13b 2 c (lvds)* pr13b 2 rdq15 c (lvds)* h25 pr13a 2 t (lvds)* pr13a 2 rdq15 t (lvds)* d27 pr12b 2 rum0_spllc_fb_a c pr12b 2 rum0_spllc_fb_a/rdq15 c gndio gndio2 - vccio2 2 e27 pr12a 2 rum0_spllt_fb_a t pr12a 2 rum0_spllt_fb_a/rdq15 t f26 pr11b 2 rum0_spllc_in_a c (lvds)* pr11b 2 rum0_spllc_in_a/rdq15 c (lvds)* g25 pr11a 2 rum0_spllt_in_a t (lvds)* pr11a 2 rum0_spllt_in_a/rdq15 t (lvds)* f24 pr9b 2 vref2_2 c pr9b 2 vref2_2 c vccio vccio2 - - - gndio gndio2 - gndio2 - f25 pr9a 2 vref1_2 t pr9a 2 vref1_2 t vccio vccio2 2 vccio2 2 g23 xres - xres 1 c30 urc_sq_vccrx0 12 urc_sq_vccrx0 12 a29 urc_sq_hdinp0 12 t urc_sq_hdinp0 12 t b30 urc_sq_vccib0 12 urc_sq_vccib0 12 b29 urc_sq_hdinn0 12 c urc_sq_hdinn0 12 c c27 urc_sq_vcctx0 12 urc_sq_vcctx0 12 a26 urc_sq_hdoutp0 12 t urc_sq_hdoutp0 12 t a27 urc_sq_vccob0 12 urc_sq_vccob0 12 b26 urc_sq_hdoutn0 12 c urc_sq_hdoutn0 12 c c26 urc_sq_vcctx1 12 urc_sq_vcctx1 12 b25 urc_sq_hdoutn1 12 c urc_sq_hdoutn1 12 c c25 urc_sq_vccob1 12 urc_sq_vccob1 12 a25 urc_sq_hdoutp1 12 t urc_sq_hdoutp1 12 t c29 urc_sq_vccrx1 12 urc_sq_vccrx1 12 b28 urc_sq_hdinn1 12 c urc_sq_hdinn1 12 c c28 urc_sq_vccib1 12 urc_sq_vccib1 12 a28 urc_sq_hdinp1 12 t urc_sq_hdinp1 12 t b24 urc_sq_vccaux33 12 urc_sq_vccaux33 12 e24 urc_sq_refclkn 12 c urc_sq_refclkn 12 c d24 urc_sq_refclkp 12 t urc_sq_refclkp 12 t c24 urc_sq_vccp 12 urc_sq_vccp 12 a20 urc_sq_hdinp2 12 t urc_sq_hdinp2 12 t c20 urc_sq_vccib2 12 urc_sq_vccib2 12 b20 urc_sq_hdinn2 12 c urc_sq_hdinn2 12 c c19 urc_sq_vccrx2 12 urc_sq_vccrx2 12 a23 urc_sq_hdoutp2 12 t urc_sq_hdoutp2 12 t c23 urc_sq_vccob2 12 urc_sq_vccob2 12 b23 urc_sq_hdoutn2 12 c urc_sq_hdoutn2 12 c c22 urc_sq_vcctx2 12 urc_sq_vcctx2 12 b22 urc_sq_hdoutn3 12 c urc_sq_hdoutn3 12 c a21 urc_sq_vccob3 12 urc_sq_vccob3 12 lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-196 pinout information lattice semiconductor latticeecp2/m family data sheet a22 urc_sq_hdoutp3 12 t urc_sq_hdoutp3 12 t c21 urc_sq_vcctx3 12 urc_sq_vcctx3 12 b19 urc_sq_hdinn3 12 c urc_sq_hdinn3 12 c b18 urc_sq_vccib3 12 urc_sq_vccib3 12 a19 urc_sq_hdinp3 12 t urc_sq_hdinp3 12 t c18 urc_sq_vccrx3 12 urc_sq_vccrx3 12 d23 pt73b 1 c pt82b 1 c gndio gndio1 - gndio1 - e21 pt73a 1 t pt82a 1 t d26 pt72b 1 c pt81b 1 c e26 pt72a 1 t pt81a 1 t e23 pt71b 1 c pt80b 1 c - - - vccio1 1 g22 pt71a 1 t pt80a 1 t vccio vccio1 1 - - d22 pt70b 1 c pt79b 1 c f21 pt70a 1 t pt79a 1 t g18 pt69b 1 c pt78b 1 c h18 pt69a 1 t pt78a 1 t d20 pt68b 1 c pt77b 1 c gndio gndio1 - gndio1 - d21 pt68a 1 t pt77a 1 t e20 pt67b 1 c pt76b 1 c e19 pt67a 1 t pt76a 1 t d19 pt66b 1 c pt75b 1 c vccio vccio1 1 vccio1 1 e18 pt66a 1 t pt75a 1 t d18 pt65b 1 c pt74b 1 c c17 pt65a 1 t pt74a 1 t a17 pt64b 1 c pt73b 1 c b17 pt64a 1 t pt73a 1 t gndio gndio1 - gndio1 - vccio vccio1 1 vccio1 1 j18 nc - pt66b 1 c j19 nc - pt66a 1 t h17 nc - pt65b 1 c j17 nc - pt65a 1 t f18 nc - pt64b 1 c f17 nc - pt64a 1 t - - - gndio1 - a16 pt54b 1 c pt63b 1 c b16 pt54a 1 t pt63a 1 t g17 pt53b 1 c pt62b 1 c g16 pt53a 1 t pt62a 1 t vccio vccio1 1 vccio1 1 h16 pt52b 1 c pt61b 1 c f16 pt52a 1 t pt61a 1 t j16 pt51b 1 c pt60b 1 c g15 pt51a 1 t pt60a 1 t lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-197 pinout information lattice semiconductor latticeecp2/m family data sheet gndio gndio1 - gndio1 - c16 pt50b 1 c pt59b 1 c d16 pt50a 1 t pt59a 1 t j15 pt49b 1 c pt58b 1 c h15 pt49a 1 t pt58a 1 t vccio vccio1 1 vccio1 1 a15 pt48b 1 vref2_1 c pt57b 1 vref2_1 c b15 pt48a 1 vref1_1 t pt57a 1 vref1_1 t f15 pt47b 1 pclkc1_0 c pt56b 1 pclkc1_0 c e16 pt47a 1 pclkt1_0 t pt56a 1 pclkt1_0 t c15 pt46b 0 pclkc0_0 c pt55b 0 pclkc0_0 c gndio gndio0 - gndio0 - d15 pt46a 0 pclkt0_0 t pt55a 0 pclkt0_0 t c14 pt45b 0 vref2_0 c pt54b 0 vref2_0 c e15 pt45a 0 vref1_0 t pt54a 0 vref1_0 t g14 pt44b 0 c pt53b 0 c vccio vccio0 0 vccio0 0 j14 pt44a 0 t pt53a 0 t f14 pt43b 0 c pt52b 0 c h14 pt43a 0 t pt52a 0 t a14 pt42b 0 c pt51b 0 c b14 pt42a 0 t pt51a 0 t d13 pt41b 0 c pt50b 0 c gndio gndio0 - gndio0 - f13 pt41a 0 t pt50a 0 t g13 pt40b 0 c pt49b 0 c vccio vccio0 0 vccio0 0 j11 pt40a 0 t pt49a 0 t d4 pt38b 0 c pt47b 0 c d5 pt38a 0 t pt47a 0 t e5 pt37b 0 c pt46b 0 c f6 pt37a 0 t pt46a 0 t gndio gndio0 - gndio0 - vccio vccio0 0 vccio0 0 f7 pt34b 0 c pt43b 0 c d8 pt34a 0 t pt43a 0 t gndio gndio0 - gndio0 - j13 pt32b 0 c pt41b 0 c g11 pt32a 0 t pt41a 0 t h13 pt31b 0 c pt40b 0 c h12 pt31a 0 t pt40a 0 t vccio vccio0 0 vccio0 0 e8 pt30b 0 c pt39b 0 c d9 pt30a 0 t pt39a 0 t d12 pt28b 0 c pt37b 0 c gndio gndio0 - gndio0 - e13 pt28a 0 t pt37a 0 t vccio vccio0 0 vccio0 0 gndio gndio0 - gndio0 - lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-198 pinout information lattice semiconductor latticeecp2/m family data sheet j12 pt5b 0 c pt31b 0 c gndio gndio0 - - - vccio vccio0 0 vccio0 0 h10 pt5a 0 t pt31a 0 t e12 pt4b 0 c pt30b 0 c d11 pt4a 0 t pt30a 0 t h11 pt3b 0 c pt29b 0 c f11 pt3a 0 t pt29a 0 t c13 vcc - ulc_sq_vccrx0 11 a12 pt19a 0 t ulc_sq_hdinp0 11 t b13 nc - ulc_sq_vccib0 11 b12 pt19b 0 c ulc_sq_hdinn0 11 c c10 vcc - ulc_sq_vcctx0 11 a9 pt17a 0 t ulc_sq_hdoutp0 11 t a10 nc - ulc_sq_vccob0 11 b9 pt17b 0 c ulc_sq_hdoutn0 11 c c9 vcc - ulc_sq_vcctx1 11 b8 pt18b 0 c ulc_sq_hdoutn1 11 c c8 nc - ulc_sq_vccob1 11 a8 pt18a 0 t ulc_sq_hdoutp1 11 t c12 vcc - ulc_sq_vccrx1 11 b11 pt16b 0 c ulc_sq_hdinn1 11 c c11 nc - ulc_sq_vccib1 11 a11 pt16a 0 t ulc_sq_hdinp1 11 t b7 vccaux - ulc_sq_vccaux33 11 e7 pt15b 0 c ulc_sq_refclkn 11 c d7 pt15a 0 t ulc_sq_refclkp 11 t c7 vcc - ulc_sq_vccp 11 a3 pt12a 0 t ulc_sq_hdinp2 11 t c3 nc - ulc_sq_vccib2 11 b3 pt12b 0 c ulc_sq_hdinn2 11 c c2 vcc - ulc_sq_vccrx2 11 a6 pt14a 0 t ulc_sq_hdoutp2 11 t c6 nc - ulc_sq_vccob2 11 b6 pt14b 0 c ulc_sq_hdoutn2 11 c c5 vcc - ulc_sq_vcctx2 11 b5 pt13b 0 c ulc_sq_hdoutn3 11 c a4 nc - ulc_sq_vccob3 11 a5 pt13a 0 t ulc_sq_hdoutp3 11 t c4 vcc - ulc_sq_vcctx3 11 b2 pt11b 0 c ulc_sq_hdinn3 11 c b1 nc - ulc_sq_vccib3 11 a2 pt11a 0 t ulc_sq_hdinp3 11 t c1 vcc - ulc_sq_vccrx3 11 l12 vcc - vcc - l13 vcc - vcc - l18 vcc - vcc - l19 vcc - vcc - m11 vcc - vcc - lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-199 pinout information lattice semiconductor latticeecp2/m family data sheet m12 vcc - vcc - m13 vcc - vcc - m14 vcc - vcc - m15 vcc - vcc - m16 vcc - vcc - m17 vcc - vcc - m18 vcc - vcc - m19 vcc - vcc - m20 vcc - vcc - n11 vcc - vcc - n12 vcc - vcc - n19 vcc - vcc - n20 vcc - vcc - p12 vcc - vcc - p19 vcc - vcc - r12 vcc - vcc - r19 vcc - vcc - t12 vcc - vcc - t19 vcc - vcc - u12 vcc - vcc - u19 vcc - vcc - v11 vcc - vcc - v12 vcc - vcc - v19 vcc - vcc - v20 vcc - vcc - w 11 vcc - vcc - w 12 vcc - vcc - w 13 vcc - vcc - w 14 vcc - vcc - w 15 vcc - vcc - w 16 vcc - vcc - w 17 vcc - vcc - w 18 vcc - vcc - w 19 vcc - vcc - w 20 vcc - vcc - y12 vcc - vcc - y13 vcc - vcc - y18 vcc - vcc - y19 vcc - vcc - d14 vccio0 0 vccio0 0 e6 vccio0 0 vccio0 0 e9 vccio0 0 vccio0 0 f12 vccio0 0 vccio0 0 k12 vccio0 0 vccio0 0 k13 vccio0 0 vccio0 0 d17 vccio1 1 vccio1 1 e22 vccio1 1 vccio1 1 e25 vccio1 1 vccio1 1 f19 vccio1 1 vccio1 1 lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-200 pinout information lattice semiconductor latticeecp2/m family data sheet k18 vccio1 1 vccio1 1 k19 vccio1 1 vccio1 1 f28 vccio2 2 vccio2 2 j25 vccio2 2 vccio2 2 k28 vccio2 2 vccio2 2 m21 vccio2 2 vccio2 2 m24 vccio2 2 vccio2 2 n21 vccio2 2 vccio2 2 n28 vccio2 2 vccio2 2 p21 vccio2 2 vccio2 2 r25 vccio2 2 vccio2 2 aa28 vccio3 3 vccio3 3 ab25 vccio3 3 vccio3 3 ae28 vccio3 3 vccio3 3 t25 vccio3 3 vccio3 3 u21 vccio3 3 vccio3 3 v21 vccio3 3 vccio3 3 v28 vccio3 3 vccio3 3 w 21 vccio3 3 vccio3 3 w 24 vccio3 3 vccio3 3 aa18 vccio4 4 vccio4 4 aa19 vccio4 4 vccio4 4 ae19 vccio4 4 vccio4 4 af22 vccio4 4 vccio4 4 ag17 vccio4 4 vccio4 4 ag25 vccio4 4 vccio4 4 aa12 vccio5 5 vccio5 5 aa13 vccio5 5 vccio5 5 ae12 vccio5 5 vccio5 5 af9 vccio5 5 vccio5 5 ag14 vccio5 5 vccio5 5 ag6 vccio5 5 vccio5 5 aa3 vccio6 6 vccio6 6 ab6 vccio6 6 vccio6 6 ae3 vccio6 6 vccio6 6 t6 vccio6 6 vccio6 6 u10 vccio6 6 vccio6 6 v10 vccio6 6 vccio6 6 v3 vccio6 6 vccio6 6 w 10 vccio6 6 vccio6 6 w 7 vccio6 6 vccio6 6 f3 vccio7 7 vccio7 7 j6 vccio7 7 vccio7 7 k3 vccio7 7 vccio7 7 m10 vccio7 7 vccio7 7 m7 vccio7 7 vccio7 7 n10 vccio7 7 vccio7 7 n3 vccio7 7 vccio7 7 p10 vccio7 7 vccio7 7 lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-201 pinout information lattice semiconductor latticeecp2/m family data sheet r6 vccio7 7 vccio7 7 aa25 vccio8 8 vccio8 8 ad28 vccio8 8 vccio8 8 aa10 vccaux - vccaux - aa11 vccaux - vccaux - aa20 vccaux - vccaux - aa21 vccaux - vccaux - k10 vccaux - vccaux - k11 vccaux - vccaux - k20 vccaux - vccaux - k21 vccaux - vccaux - l10 vccaux - vccaux - l11 vccaux - vccaux - l20 vccaux - vccaux - l21 vccaux - vccaux - y10 vccaux - vccaux - y11 vccaux - vccaux - y20 vccaux - vccaux - y21 vccaux - vccaux - a1 gnd - gnd - a13 gnd - gnd - a18 gnd - gnd - a24 gnd - gnd - a30 gnd - gnd - a7 gnd - gnd - aa14 gnd - gnd - aa15 gnd - gnd - aa16 gnd - gnd - aa17 gnd - gnd - aa24 gnd - gnd - aa27 gnd - gnd - aa4 gnd - gnd - ab24 gnd - gnd - ab7 gnd - gnd - ad12 gnd - gnd - ad19 gnd - gnd - ad27 gnd - gnd - ae22 gnd - gnd - ae27 gnd - gnd - ae4 gnd - gnd - ae9 gnd - gnd - af14 gnd - gnd - af17 gnd - gnd - af25 gnd - gnd - af6 gnd - gnd - aj10 gnd - gnd - aj21 gnd - gnd - aj27 gnd - gnd - aj4 gnd - gnd - lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-202 pinout information lattice semiconductor latticeecp2/m family data sheet ak1 gnd - gnd - ak13 gnd - gnd - ak18 gnd - gnd - ak24 gnd - gnd - ak30 gnd - gnd - ak7 gnd - gnd - b10 gnd - gnd - b21 gnd - gnd - b27 gnd - gnd - b4 gnd - gnd - d25 gnd - gnd - d6 gnd - gnd - e14 gnd - gnd - e17 gnd - gnd - f22 gnd - gnd - f27 gnd - gnd - f4 gnd - gnd - f9 gnd - gnd - g12 gnd - gnd - g19 gnd - gnd - j24 gnd - gnd - j7 gnd - gnd - k14 gnd - gnd - k15 gnd - gnd - k16 gnd - gnd - k17 gnd - gnd - k27 gnd - gnd - k4 gnd - gnd - l14 gnd - gnd - l15 gnd - gnd - l16 gnd - gnd - l17 gnd - gnd - m23 gnd - gnd - m8 gnd - gnd - n14 gnd - gnd - n15 gnd - gnd - n16 gnd - gnd - n17 gnd - gnd - n27 gnd - gnd - n4 gnd - gnd - p11 gnd - gnd - p13 gnd - gnd - p14 gnd - gnd - p15 gnd - gnd - p16 gnd - gnd - p17 gnd - gnd - p18 gnd - gnd - p20 gnd - gnd - r10 gnd - gnd - lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-203 pinout information lattice semiconductor latticeecp2/m family data sheet r11 gnd - gnd - r13 gnd - gnd - r14 gnd - gnd - r15 gnd - gnd - r16 gnd - gnd - r17 gnd - gnd - r18 gnd - gnd - r20 gnd - gnd - r21 gnd - gnd - r24 gnd - gnd - r7 gnd - gnd - t10 gnd - gnd - t11 gnd - gnd - t13 gnd - gnd - t14 gnd - gnd - t15 gnd - gnd - t16 gnd - gnd - t17 gnd - gnd - t18 gnd - gnd - t20 gnd - gnd - t21 gnd - gnd - t24 gnd - gnd - t7 gnd - gnd - u11 gnd - gnd - u13 gnd - gnd - u14 gnd - gnd - u15 gnd - gnd - u16 gnd - gnd - u17 gnd - gnd - u18 gnd - gnd - u20 gnd - gnd - v14 gnd - gnd - v15 gnd - gnd - v16 gnd - gnd - v17 gnd - gnd - v27 gnd - gnd - v4 gnd - gnd - w 23 gnd - gnd - w 8 gnd - gnd - y14 gnd - gnd - y15 gnd - gnd - y16 gnd - gnd - y17 gnd - gnd - aa26 nc - nc - ab10 pl73b 6 ldq71 c (lvds)* nc - ab11 nc - nc - ab12 nc - nc - ab13 nc - nc - ab14 nc - nc - lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-204 pinout information lattice semiconductor latticeecp2/m family data sheet ab15 nc - nc - ab16 nc - nc - ab17 nc - nc - ab19 nc - nc - ab20 nc - nc - ab21 nc - nc - ab9 pl73a 6 ldq71 t (lvds)* nc - ac10 pl74b 6 ldq71 c nc - ac11 nc - nc - ac21 nc - nc - ac22 nc - nc - ac8 pl70b 6 ldq71 c nc - ac9 pl74a 6 ldq71 t nc - ad21 nc - nc - ad22 nc - nc - ad4 pl68a 6 ldq71 t nc - ad5 pl68b 6 ldq71 c nc - ad6 pl71a 6 ldqs71 t (lvds)* nc - ad7 pl72a 6 ldq71 t nc - ad8 pl72b 6 ldq71 c nc - ae23 nc - nc - ae5 pl69a 6 ldq71 t (lvds)* nc - ae6 pl70a 6 ldq71 t nc - ae7 pl71b 6 ldq71 c (lvds)* nc - af20 nc - nc - af23 nc - nc - af5 pl69b 6 ldq71 c (lvds)* nc - ag23 nc - nc - ag26 nc - nc - d10 pt10a 0 t nc - e10 pt9b 0 c nc - e11 pt10b 0 c nc - f10 pt9a 0 t nc - f20 nc - nc - f23 nc - nc - f8 pl6b 7 ldq6 c (lvds)* nc - g10 nc - nc - g20 nc - nc - g21 nc - nc - g7 pl8a 7 ldq6 t (lvds)* nc - g8 pl6a 7 ldqs6**** t (lvds)* nc - g9 pl5a 7 ldq6 t nc - h19 nc - nc - h20 nc - nc - h21 nc - nc - h22 nc - nc - h6 pl8b 7 ldq6 c (lvds)* nc - h8 pl5b 7 ldq6 c nc - h9 pl2a 7 ldq6 t (lvds)* nc - lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-205 pinout information lattice semiconductor latticeecp2/m family data sheet j10 pl2b 7 ldq6 c (lvds)* nc - j20 nc - nc - j21 nc - nc - j9 pl4a 7 ldq6 t (lvds)* nc - k9 pl4b 7 ldq6 c (lvds)* nc - r9 nc - nc - u22 nc - nc - w 9 nc - nc - n13 vccpll - vccpll - n18 vccpll - vccpll - v13 vccpll - vccpll - v18 vccpll - vccpll - * supports true lvds. other differential signals must be emulated with external resistors. ** these dedicated input pins can be used for gplls or gdlls within the respective quadrant. *** these sysconfig pins are dedicated i/o pins for con?uration. the outpus are actively driven during normal device operatio n. ****due to packaging bond out option, this dqs does not have all the necessary dq pins bonded out for a full 8-bit data width. note: vccio and gnd pads are used to determine the average dc current drawn by i/os between gnd/vccio connections, or between t he last gnd/vccio in an i/o bank and the end of an i/o bank. the substrate pads listed in the pin table do not necessarily have a one to one con- nection with a package ball or pin. lfe2m50e/se and lfe2m70e/se logic signal connections: 900 fpbga lfe2m50e/se lfe2m70e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-206 pinout information lattice semiconductor latticeecp2/m family data sheet lfe2m100e/se logic signal connections: 900 fpbga lfe2m100e/se ball number ball/pad function bank dual function differential vccio vccio7 7 d2 pl9a 7 vref2_7 t d3 pl9b 7 vref1_7 c gndio gndio7 - j8 pl11a 7 lum0_spllt_in_a/ldq15 t (lvds)* h7 pl11b 7 lum0_spllc_in_a/ldq15 c (lvds)* e3 pl12a 7 lum0_spllt_fb_a/ldq15 t e4 pl12b 7 lum0_spllc_fb_a/ldq15 c --- vccio vccio7 7 g6 pl13a 7 ldq15 t (lvds)* f5 pl13b 7 ldq15 c (lvds)* e2 pl14a 7 ldq15 t d1 pl14b 7 ldq15 c gndio gndio7 - g5 pl15a 7 ldqs15 t (lvds)* g4 pl15b 7 ldq15 c (lvds)* k7 pl16a 7 ldq15 t vccio vccio7 7 k8 pl16b 7 ldq15 c e1 pl17a 7 ldq15 t (lvds)* f2 pl17b 7 ldq15 c (lvds)* f1 pl18a 7 ldq15 t gndio gndio7 - g3 pl18b 7 ldq15 c gndio gndio7 - vccio vccio7 7 h5 pl25a 7 ldq23 t (lvds)* h4 pl25b 7 ldq23 c (lvds)* j5 pl26a 7 ldq23 t j4 pl26b 7 ldq23 c gndio gndio7 - g2 pl28a 7 ldq32 t (lvds)* g1 pl28b 7 ldq32 c (lvds)* l9 pl29a 7 ldq32 t l7 pl29b 7 ldq32 c vccio vccio7 7 k6 pl30a 7 ldq32 t (lvds)* k5 pl30b 7 ldq32 c (lvds)* l8 pl31a 7 ldq32 t l6 pl31b 7 ldq32 c gndio gndio7 -
4-207 pinout information lattice semiconductor latticeecp2/m family data sheet h3 pl32a 7 ldqs32 t (lvds)* h2 pl32b 7 ldq32 c (lvds)* n8 pl33a 7 ldq32 t vccio vccio7 7 m9 pl33b 7 ldq32 c j3 pl34a 7 ldq32 t (lvds)* --- j2 pl34b 7 ldq32 c (lvds)* h1 pl35a 7 ldq32 t gndio gndio7 - j1 pl35b 7 ldq32 c vccio vccio7 7 gndio gndio7 - l5 pl41a 7 ldq45 t (lvds)* l4 pl41b 7 ldq45 c (lvds)* n9 pl42a 7 ldq45 t n7 pl42b 7 ldq45 c vccio vccio7 7 k2 pl43a 7 ldq45 t (lvds)* k1 pl43b 7 ldq45 c (lvds)* p9 pl44a 7 ldq45 t p7 pl44b 7 ldq45 c gndio gndio7 - m6 pl45a 7 ldqs45 t (lvds)* m5 pl45b 7 ldq45 c (lvds)* n5 pl46a 7 ldq45 t vccio vccio7 7 n6 pl46b 7 ldq45 c m4 pl47a 7 ldq45 t (lvds)* m3 pl47b 7 ldq45 c (lvds)* p6 pl48a 7 ldq45 t gndio gndio7 - p8 pl48b 7 ldq45 c l3 pl50a 7 lum3_spllt_in_a/ldq54 t (lvds)* l2 pl50b 7 lum3_spllc_in_a/ldq54 c (lvds)* p5 pl51a 7 lum3_spllt_fb_a/ldq54 t p4 pl51b 7 lum3_spllc_fb_a/ldq54 c vccio vccio7 7 l1 pl52a 7 ldq54 t (lvds)* m2 pl52b 7 ldq54 c (lvds)* r5 pl53a 7 ldq54 t r4 pl53b 7 ldq54 c gndio gndio7 - lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-208 pinout information lattice semiconductor latticeecp2/m family data sheet m1 pl54a 7 ldqs54 t (lvds)* n2 pl54b 7 ldq54 c (lvds)* r8 pl55a 7 ldq54 t vccio vccio7 7 t9 pl55b 7 ldq54 c p3 pl56a 7 ldq54 t (lvds)* p2 pl56b 7 ldq54 c (lvds)* n1 pl57a 7 pclkt7_0/ldq54 t gndio gndio7 - p1 pl57b 7 pclkc7_0/ldq54 c t5 pl59a 6 pclkt6_0/ldq63 t (lvds)* t4 pl59b 6 pclkc6_0/ldq63 c (lvds)* u7 pl60a 6 vref2_6/ldq63 t t8 pl60b 6 vref1_6/ldq63 c r3 pl61a 6 ldq63 t (lvds)* vccio vccio6 6 r2 pl61b 6 ldq63 c (lvds)* r1 pl62a 6 ldq63 t t1 pl62b 6 ldq63 c gndio gndio6 - vccio vccio6 6 t3 pl65a 6 llm4_spllt_in_a/ldq63 t (lvds)* t2 pl65b 6 llm4_spllc_in_a/ldq63 c (lvds)* u9 pl66a 6 llm4_spllt_fb_a/ldq63 t u8 pl66b 6 llm4_spllc_fb_a/ldq63 c gndio gndio6 - u5 pl68a 6 ldq72 t (lvds)* u4 pl68b 6 ldq72 c (lvds)* v9 pl69a 6 ldq72 t v7 pl69b 6 ldq72 c vccio vccio6 6 u3 pl70a 6 ldq72 t (lvds)* u2 pl70b 6 ldq72 c (lvds)* v8 pl71a 6 ldq72 t u6 pl71b 6 ldq72 c gndio gndio6 - u1 pl72a 6 ldqs72 t (lvds)* v2 pl72b 6 ldq72 c (lvds)* v5 pl73a 6 ldq72 t vccio vccio6 6 v6 pl73b 6 ldq72 c v1 pl74a 6 ldq72 t (lvds)* w 1 pl74b 6 ldq72 c (lvds)* lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-209 pinout information lattice semiconductor latticeecp2/m family data sheet w 5 pl75a 6 ldq72 t gndio gndio6 - w 6 pl75b 6 ldq72 c w 3 pl77a 6 ldq81 t (lvds)* w 4 pl77b 6 ldq81 c (lvds)* w 2 pl78a 6 ldq81 t y4 pl78b 6 ldq81 c y1 pl79a 6 ldq81 t (lvds)* vccio vccio6 6 y2 pl79b 6 ldq81 c (lvds)* y5 pl80a 6 ldq81 t y6 pl80b 6 ldq81 c aa1 pl81a 6 ldqs81 t (lvds)* gndio gndio6 - aa2 pl81b 6 ldq81 c (lvds)* y3 pl82a 6 ldq81 t ab1 pl82b 6 ldq81 c vccio vccio6 6 y9 pl83a 6 ldq81 t (lvds)* y8 pl83b 6 ldq81 c (lvds)* y7 pl84a 6 ldq81 t aa7 pl84b 6 ldq81 c gndio gndio6 - vccio vccio6 6 ab2 pl95a 6 ldq99 t (lvds)* ab3 pl95b 6 ldq99 c (lvds)* aa5 pl96a 6 ldq99 t aa6 pl96b 6 ldq99 c ab4 pl97a 6 ldq99 t (lvds)* vccio vccio6 6 ab5 pl97b 6 ldq99 c (lvds)* aa8 pl98a 6 ldq99 t aa9 pl98b 6 ldq99 c ac1 pl99a 6 llm0_gpllt_in_a**/ldqs99 t (lvds)* gndio gndio6 - ac2 pl99b 6 llm0_gpllc_in_a**/ldq99 c (lvds)* ac4 pl100a 6 llm0_gpllt_fb_a/ldq99 t ac3 pl100b 6 llm0_gpllc_fb_a/ldq99 c vccio vccio6 6 ac7 pl101a 6 llm0_gdllt_in_a**/ldq99 t (lvds)* ac6 pl101b 6 llm0_gdllc_in_a**/ldq99 c (lvds)* ac5 pl102a 6 llm0_gdllt_fb_a/ldq99 t ad3 pl102b 6 llm0_gdllc_fb_a/ldq99 c lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-210 pinout information lattice semiconductor latticeecp2/m family data sheet gndio gndio6 - ab8 llm0_pllcap 6 ad2 pl104a 6 t ad1 pl104b 6 c ae2 tck - ae1 tdi - af2 tms - af1 tdo - ag1 vccj - ah1 llc_sq_vccrx3 14 ak2 llc_sq_hdinp3 14 t aj1 llc_sq_vccib3 14 aj2 llc_sq_hdinn3 14 c ah4 llc_sq_vcctx3 14 ak5 llc_sq_hdoutp3 14 t ak4 llc_sq_vccob3 14 aj5 llc_sq_hdoutn3 14 c ah5 llc_sq_vcctx2 14 aj6 llc_sq_hdoutn2 14 c ah6 llc_sq_vccob2 14 ak6 llc_sq_hdoutp2 14 t ah2 llc_sq_vccrx2 14 aj3 llc_sq_hdinn2 14 c ah3 llc_sq_vccib2 14 ak3 llc_sq_hdinp2 14 t ah7 llc_sq_vccp 14 ag7 llc_sq_refclkp 14 t af7 llc_sq_refclkn 14 c aj7 llc_sq_vccaux33 14 ak11 llc_sq_hdinp1 14 t ah11 llc_sq_vccib1 14 aj11 llc_sq_hdinn1 14 c ah12 llc_sq_vccrx1 14 ak8 llc_sq_hdoutp1 14 t ah8 llc_sq_vccob1 14 aj8 llc_sq_hdoutn1 14 c ah9 llc_sq_vcctx1 14 aj9 llc_sq_hdoutn0 14 c ak10 llc_sq_vccob0 14 ak9 llc_sq_hdoutp0 14 t ah10 llc_sq_vcctx0 14 aj12 llc_sq_hdinn0 14 c aj13 llc_sq_vccib0 14 lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-211 pinout information lattice semiconductor latticeecp2/m family data sheet ak12 llc_sq_hdinp0 14 t ah13 llc_sq_vccrx0 14 af10 pb30a 5 bdq33 t ae8 pb30b 5 bdq33 c ae11 pb31a 5 bdq33 t vccio vccio5 5 ad9 pb31b 5 bdq33 c ae10 pb32a 5 bdq33 t ad10 pb32b 5 bdq33 c ae13 pb33a 5 bdqs33 t gndio gndio5 - ac12 pb33b 5 bdq33 c ag2 pb34a 5 bdq33 t ag3 pb34b 5 bdq33 c ad13 pb35a 5 bdq33 t vccio vccio5 5 ac13 pb35b 5 bdq33 c ae14 pb36a 5 bdq33 t ac14 pb36b 5 bdq33 c af3 pb37a 5 bdq33 t gndio gndio5 - af4 pb37b 5 bdq33 c --- ag4 pb38a 5 bdq42 t ag5 pb38b 5 bdq42 c gndio gndio5 - --- ad11 pb48a 5 bdq51 t af13 pb48b 5 bdq51 c af12 pb49a 5 bdq51 t vccio vccio5 5 ad14 pb49b 5 bdq51 c ag8 pb50a 5 bdq51 t af8 pb50b 5 bdq51 c ae15 pb51a 5 bdqs51**** t gndio gndio5 - --- ac15 pb51b 5 bdq51 c vccio vccio5 5 gndio gndio5 - ad15 pb56a 5 bdq60 t af15 pb56b 5 bdq60 c ag10 pb57a 5 bdq60 t lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-212 pinout information lattice semiconductor latticeecp2/m family data sheet ag9 pb57b 5 bdq60 c ah14 pb58a 5 bdq60 t ag12 pb58b 5 bdq60 c vccio vccio5 5 ag15 pb59a 5 bdq60 t ag13 pb59b 5 bdq60 c gndio gndio5 - af16 pb60a 5 bdqs60 t ah15 pb60b 5 bdq60 c ac16 pb61a 5 vref2_5/bdq60 t ae16 pb61b 5 vref1_5/bdq60 c ag11 pb62a 5 pclkt5_0/bdq60 t af11 pb62b 5 pclkc5_0/bdq60 c vccio vccio5 5 gndio gndio5 - aj14 pb67a 4 pclkt4_0/bdq69 t vccio vccio4 4 ak14 pb67b 4 pclkc4_0/bdq69 c ak15 pb68a 4 vref2_4/bdq69 t ak16 pb68b 4 vref1_4/bdq69 c af18 pb69a 4 bdqs69 t gndio gndio4 - ad16 pb69b 4 bdq69 c aj15 pb70a 4 bdq69 t ag16 pb70b 4 bdq69 c ae17 pb71a 4 bdq69 t vccio vccio4 4 ac17 pb71b 4 bdq69 c ah16 pb72a 4 bdq69 t ak17 pb72b 4 bdq69 c ag20 pb73a 4 bdq69 t gndio gndio4 - ag21 pb73b 4 bdq69 c ag18 pb74a 4 bdq78 t aj16 pb74b 4 bdq78 c af21 pb75a 4 bdq78 t ag22 pb75b 4 bdq78 c ad17 pb76a 4 bdq78 t af19 pb76b 4 bdq78 c vccio vccio4 4 gndio gndio4 - ah17 pb80a 4 bdq78 t aj17 pb80b 4 bdq78 c lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-213 pinout information lattice semiconductor latticeecp2/m family data sheet vccio vccio4 4 af26 pb82a 4 bdq78 t ae25 pb82b 4 bdq78 c gndio gndio4 - ad24 pb92a 4 bdq96 t ae24 pb92b 4 bdq96 c ad18 pb93a 4 bdq96 t ac18 pb93b 4 bdq96 c ae18 pb94a 4 bdq96 t ag19 pb94b 4 bdq96 c vccio vccio4 4 gndio gndio4 - ac19 pb96a 4 bdqs96 t ad20 pb96b 4 bdq96 c ab18 pb97a 4 bdq96 t ac20 pb97b 4 bdq96 c ae20 pb98a 4 bdq96 t ae21 pb98b 4 bdq96 c vccio vccio4 4 ac23 pb99a 4 bdq96 t ad23 pb99b 4 bdq96 c gndio gndio4 - ah18 lrc_sq_vccrx3 13 ak19 lrc_sq_hdinp3 13 t aj18 lrc_sq_vccib3 13 aj19 lrc_sq_hdinn3 13 c ah21 lrc_sq_vcctx3 13 ak22 lrc_sq_hdoutp3 13 t ak21 lrc_sq_vccob3 13 aj22 lrc_sq_hdoutn3 13 c ah22 lrc_sq_vcctx2 13 aj23 lrc_sq_hdoutn2 13 c ah23 lrc_sq_vccob2 13 ak23 lrc_sq_hdoutp2 13 t ah19 lrc_sq_vccrx2 13 aj20 lrc_sq_hdinn2 13 c ah20 lrc_sq_vccib2 13 ak20 lrc_sq_hdinp2 13 t ah24 lrc_sq_vccp 13 ag24 lrc_sq_refclkp 13 t af24 lrc_sq_refclkn 13 c aj24 lrc_sq_vccaux33 13 ak28 lrc_sq_hdinp1 13 t lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-214 pinout information lattice semiconductor latticeecp2/m family data sheet ah28 lrc_sq_vccib1 13 aj28 lrc_sq_hdinn1 13 c ah29 lrc_sq_vccrx1 13 ak25 lrc_sq_hdoutp1 13 t ah25 lrc_sq_vccob1 13 aj25 lrc_sq_hdoutn1 13 c ah26 lrc_sq_vcctx1 13 aj26 lrc_sq_hdoutn0 13 c ak27 lrc_sq_vccob0 13 ak26 lrc_sq_hdoutp0 13 t ah27 lrc_sq_vcctx0 13 aj29 lrc_sq_hdinn0 13 c aj30 lrc_sq_vccib0 13 ak29 lrc_sq_hdinp0 13 t ah30 lrc_sq_vccrx0 13 ag27 cfg2 8 ad25 cfg1 8 ag28 cfg0 8 ag30 programn 8 ag29 cclk 8 ac24 initn 8 af27 done 8 gndio gndio8 - af28 w riten*** 8 ae26 cs1n*** 8 ab23 csn*** 8 af29 d0/spifastn*** 8 vccio vccio8 8 af30 d1*** 8 ad26 d2*** 8 ae29 d3*** 8 gndio gndio8 - ae30 d4*** 8 ad29 d5*** 8 ac25 d6*** 8 ad30 d7*** 8 vccio vccio8 8 aa22 di/csspi0n*** 8 ac26 dout/cson/csspi1n*** 8 aa23 busy/sispi*** 8 ab22 rlm0_pllcap 3 ac27 pr102b 3 rlm0_gdllc_fb_a/rdq99 c gndio gndio3 - lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-215 pinout information lattice semiconductor latticeecp2/m family data sheet ac28 pr102a 3 rlm0_gdllt_fb_a/rdq99 t ac29 pr101b 3 rlm0_gdllc_in_a**/rdq99 c (lvds)* ac30 pr101a 3 rlm0_gdllt_in_a**/rdq99 t (lvds)* ab30 pr100b 3 rlm0_gpllc_in_a**/rdq99 c vccio vccio3 3 aa30 pr100a 3 rlm0_gpllt_in_a**/rdq99 t ab29 pr99b 3 rlm0_gpllc_fb_a/rdq99 c (lvds)* ab28 pr99a 3 rlm0_gpllt_fb_a/rdqs99 t (lvds)* gndio gndio3 - y22 pr98b 3 rdq99 c y23 pr98a 3 rdq99 t ab26 pr97b 3 rdq99 c (lvds)* ab27 pr97a 3 rdq99 t (lvds)* vccio vccio3 3 y24 pr96b 3 rdq99 c y25 pr96a 3 rdq99 t aa29 pr95b 3 rdq99 c (lvds)* y28 pr95a 3 rdq99 t (lvds)* y30 pr93b 3 rdq90 c y29 pr93a 3 rdq90 t gndio gndio3 - vccio vccio3 3 w 22 pr83b 3 rdq81 c (lvds)* v22 pr83a 3 rdq81 t (lvds)* y27 pr82b 3 rdq81 c vccio vccio3 3 y26 pr82a 3 rdq81 t w 30 pr81b 3 rdq81 c (lvds)* w 29 pr81a 3 rdqs81 t (lvds)* gndio gndio3 - w 25 pr80b 3 rdq81 c w 26 pr80a 3 rdq81 t u29 pr79b 3 rdq81 c (lvds)* v29 pr79a 3 rdq81 t (lvds)* vccio vccio3 3 v30 pr78b 3 rdq81 c u30 pr78a 3 rdq81 t w 27 pr77b 3 rdq81 c (lvds)* w 28 pr77a 3 rdq81 t (lvds)* v24 pr75b 3 rdq72 c v25 pr75a 3 rdq72 t gndio gndio3 - u28 pr74b 3 rdq72 c (lvds)* lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-216 pinout information lattice semiconductor latticeecp2/m family data sheet u27 pr74a 3 rdq72 t (lvds)* u23 pr73b 3 rdq72 c v23 pr73a 3 rdq72 t vccio vccio3 3 v26 pr72b 3 rdq72 c (lvds)* u26 pr72a 3 rdqs72 t (lvds)* u25 pr71b 3 rdq72 c gndio gndio3 - u24 pr71a 3 rdq72 t t30 pr70b 3 rdq72 c (lvds)* r30 pr70a 3 rdq72 t (lvds)* t23 pr69b 3 rdq72 c vccio vccio3 3 t22 pr69a 3 rdq72 t t29 pr68b 3 rdq72 c (lvds)* t28 pr68a 3 rdq72 t (lvds)* r23 pr66b 3 rlm4_spllc_fb_a/rdq63 c gndio gndio3 - --- r22 pr66a 3 rlm4_spllt_fb_a/rdq63 t p30 pr65b 3 rlm4_spllc_in_a/rdq63 c (lvds)* r29 pr65a 3 rlm4_spllt_in_a/rdq63 t (lvds)* t27 pr64b 3 rdq63 c vccio vccio3 3 t26 pr64a 3 rdq63 t gndio gndio3 - n30 pr61b 3 rdq63 c (lvds)* n29 pr61a 3 rdq63 t (lvds)* vccio vccio3 3 r27 pr60b 3 vref2_3/rdq63 c r28 pr60a 3 vref1_3/rdq63 t p29 pr59b 3 pclkc3_0/rdq63 c (lvds)* p28 pr59a 3 pclkt3_0/rdq63 t (lvds)* m30 pr57b 2 pclkc2_0/rdq54 c m29 pr57a 2 pclkt2_0/rdq54 t gndio gndio2 - p23 pr56b 2 rdq54 c (lvds)* p24 pr56a 2 rdq54 t (lvds)* r26 pr55b 2 rdq54 c p27 pr55a 2 rdq54 t vccio vccio2 2 p25 pr54b 2 rdq54 c (lvds)* p26 pr54a 2 rdqs54 t (lvds)* lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-217 pinout information lattice semiconductor latticeecp2/m family data sheet k30 pr53b 2 rdq54 c gndio gndio2 - k29 pr53a 2 rdq54 t n22 pr52b 2 rdq54 c (lvds)* p22 pr52a 2 rdq54 t (lvds)* j30 pr51b 2 rum3_spllc_fb_a/rdq54 c vccio vccio2 2 j29 pr51a 2 rum3_spllt_fb_a/rdq54 t n24 pr50b 2 rum3_spllc_in_a/rdq54 c (lvds)* n23 pr50a 2 rum3_spllt_in_a/rdq54 t (lvds)* n25 pr48b 2 rdq45 c n26 pr48a 2 rdq45 t gndio gndio2 - m27 pr47b 2 rdq45 c (lvds)* m28 pr47a 2 rdq45 t (lvds)* h30 pr46b 2 rdq45 c g30 pr46a 2 rdq45 t vccio vccio2 2 m25 pr45b 2 rdq45 c (lvds)* m26 pr45a 2 rdqs45 t (lvds)* l30 pr44b 2 rdq45 c gndio gndio2 - l29 pr44a 2 rdq45 t l28 pr43b 2 rdq45 c (lvds)* l27 pr43a 2 rdq45 t (lvds)* h29 pr42b 2 rdq45 c vccio vccio2 2 g29 pr42a 2 rdq45 t l22 pr41b 2 rdq45 c (lvds)* m22 pr41a 2 rdq45 t (lvds)* f30 pr40b 2 c gndio gndio2 - f29 pr40a 2 t vccio vccio2 2 gndio gndio2 - e30 pr34b 2 rdq32 c (lvds)* e29 pr34a 2 rdq32 t (lvds)* --- l25 pr33b 2 rdq32 c l26 pr33a 2 rdq32 t vccio vccio2 2 h28 pr32b 2 rdq32 c (lvds)* j28 pr32a 2 rdqs32 t (lvds)* lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-218 pinout information lattice semiconductor latticeecp2/m family data sheet g28 pr31b 2 rdq32 c gndio gndio2 - g27 pr31a 2 rdq32 t l24 pr30b 2 rdq32 c (lvds)* l23 pr30a 2 rdq32 t (lvds)* d30 pr29b 2 rdq32 c vccio vccio2 2 d29 pr29a 2 rdq32 t k24 pr28b 2 rdq32 c (lvds)* k25 pr28a 2 rdq32 t (lvds)* j27 pr26b 2 rdq23 c gndio gndio2 - k26 pr26a 2 rdq23 t k23 pr25b 2 rdq23 c (lvds)* k22 pr25a 2 rdq23 t (lvds)* j22 pr24b 2 rdq23 c vccio vccio2 2 j23 pr24a 2 rdq23 t gndio gndio2 - vccio vccio2 2 j26 pr17b 2 rdq15 c (lvds)* h26 pr17a 2 rdq15 t (lvds)* h27 pr16b 2 rdq15 c g26 pr16a 2 rdq15 t vccio vccio2 2 h23 pr15b 2 rdq15 c (lvds)* h24 pr15a 2 rdqs15 t (lvds)* d28 pr14b 2 rdq15 c gndio gndio2 - e28 pr14a 2 rdq15 t g24 pr13b 2 rdq15 c (lvds)* h25 pr13a 2 rdq15 t (lvds)* d27 pr12b 2 rum0_spllc_fb_a/rdq15 c vccio vccio2 2 e27 pr12a 2 rum0_spllt_fb_a/rdq15 t f26 pr11b 2 rum0_spllc_in_a/rdq15 c (lvds)* g25 pr11a 2 rum0_spllt_in_a/rdq15 t (lvds)* f24 pr9b 2 vref2_2 c --- gndio gndio2 - f25 pr9a 2 vref1_2 t vccio vccio2 2 g23 xres 1 lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-219 pinout information lattice semiconductor latticeecp2/m family data sheet c30 urc_sq_vccrx0 12 a29 urc_sq_hdinp0 12 t b30 urc_sq_vccib0 12 b29 urc_sq_hdinn0 12 c c27 urc_sq_vcctx0 12 a26 urc_sq_hdoutp0 12 t a27 urc_sq_vccob0 12 b26 urc_sq_hdoutn0 12 c c26 urc_sq_vcctx1 12 b25 urc_sq_hdoutn1 12 c c25 urc_sq_vccob1 12 a25 urc_sq_hdoutp1 12 t c29 urc_sq_vccrx1 12 b28 urc_sq_hdinn1 12 c c28 urc_sq_vccib1 12 a28 urc_sq_hdinp1 12 t b24 urc_sq_vccaux33 12 e24 urc_sq_refclkn 12 c d24 urc_sq_refclkp 12 t c24 urc_sq_vccp 12 a20 urc_sq_hdinp2 12 t c20 urc_sq_vccib2 12 b20 urc_sq_hdinn2 12 c c19 urc_sq_vccrx2 12 a23 urc_sq_hdoutp2 12 t c23 urc_sq_vccob2 12 b23 urc_sq_hdoutn2 12 c c22 urc_sq_vcctx2 12 b22 urc_sq_hdoutn3 12 c a21 urc_sq_vccob3 12 a22 urc_sq_hdoutp3 12 t c21 urc_sq_vcctx3 12 b19 urc_sq_hdinn3 12 c b18 urc_sq_vccib3 12 a19 urc_sq_hdinp3 12 t c18 urc_sq_vccrx3 12 d23 pt100b 1 c gndio gndio1 - e21 pt100a 1 t d26 pt99b 1 c e26 pt99a 1 t e23 pt98b 1 c vccio vccio1 1 lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-220 pinout information lattice semiconductor latticeecp2/m family data sheet g22 pt98a 1 t --- d22 pt97b 1 c f21 pt97a 1 t g18 pt96b 1 c h18 pt96a 1 t d20 pt95b 1 c gndio gndio1 - d21 pt95a 1 t e20 pt94b 1 c vccio vccio1 1 e19 pt94a 1 t d19 pt93b 1 c e18 pt93a 1 t d18 pt92b 1 c c17 pt92a 1 t a17 pt91b 1 c b17 pt91a 1 t gndio gndio1 - vccio vccio1 1 j18 pt75b 1 c j19 pt75a 1 t h17 pt74b 1 c j17 pt74a 1 t f18 pt73b 1 c f17 pt73a 1 t gndio gndio1 - a16 pt72b 1 c b16 pt72a 1 t g17 pt71b 1 c g16 pt71a 1 t vccio vccio1 1 h16 pt70b 1 c f16 pt70a 1 t j16 pt69b 1 c g15 pt69a 1 t gndio gndio1 - c16 pt68b 1 c d16 pt68a 1 t j15 pt67b 1 c h15 pt67a 1 t vccio vccio1 1 a15 pt66b 1 vref2_1 c lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-221 pinout information lattice semiconductor latticeecp2/m family data sheet b15 pt66a 1 vref1_1 t f15 pt65b 1 pclkc1_0 c e16 pt65a 1 pclkt1_0 t c15 pt64b 0 pclkc0_0 c gndio gndio0 - d15 pt64a 0 pclkt0_0 t c14 pt63b 0 vref2_0 c e15 pt63a 0 vref1_0 t g14 pt62b 0 c vccio vccio0 0 j14 pt62a 0 t f14 pt61b 0 c h14 pt61a 0 t a14 pt60b 0 c b14 pt60a 0 t d13 pt59b 0 c gndio gndio0 - f13 pt59a 0 t g13 pt58b 0 c vccio vccio0 0 j11 pt58a 0 t d4 pt57b 0 d5 pt56a 0 e5 pt55b 0 c f6 pt55a 0 t gndio gndio0 - vccio vccio0 0 f7 pt52b 0 c d8 pt52a 0 t gndio gndio0 - j13 pt50b 0 c g11 pt50a 0 t h13 pt49b 0 c h12 pt49a 0 t vccio vccio0 0 e8 pt48b 0 c d9 pt48a 0 t d12 pt46b 0 c gndio gndio0 - e13 pt46a 0 t vccio vccio0 0 gndio gndio0 - j12 pt31b 0 c lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-222 pinout information lattice semiconductor latticeecp2/m family data sheet --- vccio vccio0 0 h10 pt31a 0 t e12 pt30b 0 c d11 pt30a 0 t h11 pt29b 0 c f11 pt29a 0 t c13 ulc_sq_vccrx0 11 a12 ulc_sq_hdinp0 11 t b13 ulc_sq_vccib0 11 b12 ulc_sq_hdinn0 11 c c10 ulc_sq_vcctx0 11 a9 ulc_sq_hdoutp0 11 t a10 ulc_sq_vccob0 11 b9 ulc_sq_hdoutn0 11 c c9 ulc_sq_vcctx1 11 b8 ulc_sq_hdoutn1 11 c c8 ulc_sq_vccob1 11 a8 ulc_sq_hdoutp1 11 t c12 ulc_sq_vccrx1 11 b11 ulc_sq_hdinn1 11 c c11 ulc_sq_vccib1 11 a11 ulc_sq_hdinp1 11 t b7 ulc_sq_vccaux33 11 e7 ulc_sq_refclkn 11 c d7 ulc_sq_refclkp 11 t c7 ulc_sq_vccp 11 a3 ulc_sq_hdinp2 11 t c3 ulc_sq_vccib2 11 b3 ulc_sq_hdinn2 11 c c2 ulc_sq_vccrx2 11 a6 ulc_sq_hdoutp2 11 t c6 ulc_sq_vccob2 11 b6 ulc_sq_hdoutn2 11 c c5 ulc_sq_vcctx2 11 b5 ulc_sq_hdoutn3 11 c a4 ulc_sq_vccob3 11 a5 ulc_sq_hdoutp3 11 t c4 ulc_sq_vcctx3 11 b2 ulc_sq_hdinn3 11 c b1 ulc_sq_vccib3 11 a2 ulc_sq_hdinp3 11 t c1 ulc_sq_vccrx3 11 lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-223 pinout information lattice semiconductor latticeecp2/m family data sheet l12 vcc - l13 vcc - l18 vcc - l19 vcc - m11 vcc - m12 vcc - m13 vcc - m14 vcc - m15 vcc - m16 vcc - m17 vcc - m18 vcc - m19 vcc - m20 vcc - n11 vcc - n12 vcc - n19 vcc - n20 vcc - p12 vcc - p19 vcc - r12 vcc - r19 vcc - t12 vcc - t19 vcc - u12 vcc - u19 vcc - v11 vcc - v12 vcc - v19 vcc - v20 vcc - w 11 vcc - w 12 vcc - w 13 vcc - w 14 vcc - w 15 vcc - w 16 vcc - w 17 vcc - w 18 vcc - w 19 vcc - w 20 vcc - y12 vcc - y13 vcc - y18 vcc - lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-224 pinout information lattice semiconductor latticeecp2/m family data sheet y19 vcc - d14 vccio0 0 e6 vccio0 0 e9 vccio0 0 f12 vccio0 0 k12 vccio0 0 k13 vccio0 0 d17 vccio1 1 e22 vccio1 1 e25 vccio1 1 f19 vccio1 1 k18 vccio1 1 k19 vccio1 1 f28 vccio2 2 j25 vccio2 2 k28 vccio2 2 m21 vccio2 2 m24 vccio2 2 n21 vccio2 2 n28 vccio2 2 p21 vccio2 2 r25 vccio2 2 aa28 vccio3 3 ab25 vccio3 3 ae28 vccio3 3 t25 vccio3 3 u21 vccio3 3 v21 vccio3 3 v28 vccio3 3 w 21 vccio3 3 w 24 vccio3 3 aa18 vccio4 4 aa19 vccio4 4 ae19 vccio4 4 af22 vccio4 4 ag17 vccio4 4 ag25 vccio4 4 aa12 vccio5 5 aa13 vccio5 5 ae12 vccio5 5 af9 vccio5 5 ag14 vccio5 5 ag6 vccio5 5 lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-225 pinout information lattice semiconductor latticeecp2/m family data sheet aa3 vccio6 6 ab6 vccio6 6 ae3 vccio6 6 t6 vccio6 6 u10 vccio6 6 v10 vccio6 6 v3 vccio6 6 w 10 vccio6 6 w 7 vccio6 6 f3 vccio7 7 j6 vccio7 7 k3 vccio7 7 m10 vccio7 7 m7 vccio7 7 n10 vccio7 7 n3 vccio7 7 p10 vccio7 7 r6 vccio7 7 aa25 vccio8 8 ad28 vccio8 8 aa10 vccaux - aa11 vccaux - aa20 vccaux - aa21 vccaux - k10 vccaux - k11 vccaux - k20 vccaux - k21 vccaux - l10 vccaux - l11 vccaux - l20 vccaux - l21 vccaux - y10 vccaux - y11 vccaux - y20 vccaux - y21 vccaux - a1 gnd - a13 gnd - a18 gnd - a24 gnd - a30 gnd - a7 gnd - aa14 gnd - lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-226 pinout information lattice semiconductor latticeecp2/m family data sheet aa15 gnd - aa16 gnd - aa17 gnd - aa24 gnd - aa27 gnd - aa4 gnd - ab24 gnd - ab7 gnd - ad12 gnd - ad19 gnd - ad27 gnd - ae22 gnd - ae27 gnd - ae4 gnd - ae9 gnd - af14 gnd - af17 gnd - af25 gnd - af6 gnd - aj10 gnd - aj21 gnd - aj27 gnd - aj4 gnd - ak1 gnd - ak13 gnd - ak18 gnd - ak24 gnd - ak30 gnd - ak7 gnd - b10 gnd - b21 gnd - b27 gnd - b4 gnd - d25 gnd - d6 gnd - e14 gnd - e17 gnd - f22 gnd - f27 gnd - f4 gnd - f9 gnd - g12 gnd - g19 gnd - lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-227 pinout information lattice semiconductor latticeecp2/m family data sheet j24 gnd - j7 gnd - k14 gnd - k15 gnd - k16 gnd - k17 gnd - k27 gnd - k4 gnd - l14 gnd - l15 gnd - l16 gnd - l17 gnd - m23 gnd - m8 gnd - n14 gnd - n15 gnd - n16 gnd - n17 gnd - n27 gnd - n4 gnd - p11 gnd - p13 gnd - p14 gnd - p15 gnd - p16 gnd - p17 gnd - p18 gnd - p20 gnd - r10 gnd - r11 gnd - r13 gnd - r14 gnd - r15 gnd - r16 gnd - r17 gnd - r18 gnd - r20 gnd - r21 gnd - r24 gnd - r7 gnd - t10 gnd - t11 gnd - t13 gnd - lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-228 pinout information lattice semiconductor latticeecp2/m family data sheet t14 gnd - t15 gnd - t16 gnd - t17 gnd - t18 gnd - t20 gnd - t21 gnd - t24 gnd - t7 gnd - u11 gnd - u13 gnd - u14 gnd - u15 gnd - u16 gnd - u17 gnd - u18 gnd - u20 gnd - v14 gnd - v15 gnd - v16 gnd - v17 gnd - v27 gnd - v4 gnd - w 23 gnd - w 8 gnd - y14 gnd - y15 gnd - y16 gnd - y17 gnd - aa26 nc - ab10 nc - ab11 nc - ab12 nc - ab13 nc - ab14 nc - ab15 nc - ab16 nc - ab17 nc - ab19 nc - ab20 nc - ab21 nc - ab9 nc - ac10 nc - lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-229 pinout information lattice semiconductor latticeecp2/m family data sheet ac11 nc - ac21 nc - ac22 nc - ac8 nc - ac9 nc - ad21 nc - ad22 nc - ad4 nc - ad5 nc - ad6 nc - ad7 nc - ad8 nc - ae23 nc - ae5 nc - ae6 nc - ae7 nc - af20 nc - af23 nc - af5 nc - ag23 nc - ag26 nc - d10 nc - e10 nc - e11 nc - f10 nc - f20 nc - f23 nc - f8 nc - g10 nc - g20 nc - g21 nc - g7 nc - g8 nc - g9 nc - h19 nc - h20 nc - h21 nc - h22 nc - h6 nc - h8 nc - h9 nc - j10 nc - j20 nc - lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-230 pinout information lattice semiconductor latticeecp2/m family data sheet j21 nc - j9 nc - k9 nc - r9 nc - u22 nc - w 9nc- n13 vccpll - n18 vccpll - v13 vccpll - v18 vccpll - * supports true lvds. other differential signals must be emulated with external resistors. ** these dedicated input pins can be used for gplls or gdlls within the respective quadrant. *** these sysconfig pins are dedicated i/o pins for con?uration. the outpus are actively driven during normal device operatio n. ****due to packaging bond out option, this dqs does not have all the necessary dq pins bonded out for a full 8-bit data width. note: vccio and gnd pads are used to determine the average dc current drawn by i/os between gnd/vccio connections, or between t he last gnd/vccio in an i/o bank and the end of an i/o bank. the substrate pads listed in the pin table do not necessarily have a one to one connection with a package ball or pin. lfe2m100e/se logic signal connections: 900 fpbga (cont.) lfe2m100e/se ball number ball/pad function bank dual function differential
4-231 pinout information lattice semiconductor latticeecp2/m family data sheet lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential vccio vccio7 7 vccio7 7 f4 pl9a 7 vref2_7 t pl9a 7 vref2_7 t f3 pl9b 7 vref1_7 c pl9b 7 vref1_7 c gndio gndio7 - gndio7 - e1 pl11a 7 lum0_spllt_in_a/ldq15 t (lvds)* pl11a 7 lum0_spllt_in_a/ldq15 t (lvds)* e2 pl11b 7 lum0_spllc_in_a/ldq15 c (lvds)* pl11b 7 lum0_spllc_in_a/ldq15 c (lvds)* k9 pl12a 7 lum0_spllt_fb_a/ldq15 t pl12a 7 lum0_spllt_fb_a/ldq15 t h7 pl12b 7 lum0_spllc_fb_a/ldq15 c pl12b 7 lum0_spllc_fb_a/ldq15 c vccio vccio7 7 vccio7 7 f1 pl13a 7 ldq15 t (lvds)* pl13a 7 ldq15 t (lvds)* f2 pl13b 7 ldq15 c (lvds)* pl13b 7 ldq15 c (lvds)* j8 pl14a 7 ldq15 t pl14a 7 ldq15 t h6 pl14b 7 ldq15 c pl14b 7 ldq15 c gndio gndio7 - gndio7 - g2 pl15a 7 ldqs15 t (lvds)* pl15a 7 ldqs15 t (lvds)* g1 pl15b 7 ldq15 c (lvds)* pl15b 7 ldq15 c (lvds)* j7 pl16a 7 ldq15 t pl16a 7 ldq15 t vccio vccio7 7 vccio7 7 l8 pl16b 7 ldq15 c pl16b 7 ldq15 c l9 pl17a 7 ldq15 t (lvds)* pl17a 7 ldq15 t (lvds)* l10 pl17b 7 ldq15 c (lvds)* pl17b 7 ldq15 c (lvds)* h5 pl18a 7 ldq15 t pl18a 7 ldq15 t gndio gndio7 - gndio7 - j6 pl18b 7 ldq15 c pl18b 7 ldq15 c h2 nc - pl19a 7 ldq23 t (lvds)* h1 nc - pl19b 7 ldq23 c (lvds)* g5 nc - pl20a 7 ldq23 t g6 nc - pl20b 7 ldq23 c m9 nc - pl21a 7 ldq23 t (lvds)* - - - vccio7 7 m10 nc - pl21b 7 ldq23 c (lvds)* h3 nc - pl22a 7 ldq23 t h4 nc - pl22b 7 ldq23 c j2 pl19a 7 t (lvds)* pl23a 7 ldqs23 t (lvds)* - - - gndio7 - j1 pl19b 7 c (lvds)* pl23b 7 ldq23 c (lvds)* k2 pl20a 7 t pl24a 7 ldq23 t k1 pl20b 7 c pl24b 7 ldq23 c vccio vccio7 7 vccio7 7 j4 pl21a 7 t (lvds)* pl25a 7 ldq23 t (lvds)* j3 pl21b 7 c (lvds)* pl25b 7 ldq23 c (lvds)* j5 pl22a 7 t pl26a 7 ldq23 t k5 pl22b 7 c pl26b 7 ldq23 c gndio gndio7 - gndio7 - l2 pl24a 7 ldq28 t (lvds)* pl28a 7 ldq32 t (lvds)* l1 pl24b 7 ldq28 c (lvds)* pl28b 7 ldq32 c (lvds)* l7 pl25a 7 ldq28 t pl29a 7 ldq32 t k6 pl25b 7 ldq28 c pl29b 7 ldq32 c vccio vccio7 7 vccio7 7 m2 pl26a 7 ldq28 t (lvds)* pl30a 7 ldq32 t (lvds)*
4-232 pinout information lattice semiconductor latticeecp2/m family data sheet m1 pl26b 7 ldq28 c (lvds)* pl30b 7 ldq32 c (lvds)* l6 pl27a 7 ldq28 t pl31a 7 ldq32 t l5 pl27b 7 ldq28 c pl31b 7 ldq32 c gndio gndio7 - gndio7 - l3 pl28a 7 ldqs28 t (lvds)* pl32a 7 ldqs32 t (lvds)* l4 pl28b 7 ldq28 c (lvds)* pl32b 7 ldq32 c (lvds)* m3 pl29a 7 ldq28 t pl33a 7 ldq32 t vccio vccio7 7 vccio7 7 m4 pl29b 7 ldq28 c pl33b 7 ldq32 c n1 pl30a 7 ldq28 t (lvds)* pl34a 7 ldq32 t (lvds)* n2 pl30b 7 ldq28 c (lvds)* pl34b 7 ldq32 c (lvds)* m5 pl31a 7 ldq28 t pl35a 7 ldq32 t gndio gndio7 - gndio7 - n6 pl31b 7 ldq28 c pl35b 7 ldq32 c p3 nc - pl37a 7 t (lvds)* - - - gndio7 - p4 nc - pl37b 7 c (lvds)* p9 nc - pl38a 7 t m7 nc - pl38b 7 c - - - vccio7 7 p1 nc - pl39a 7 t (lvds)* p2 nc - pl39b 7 c (lvds)* n7 nc - pl40a 7 t p7 nc - pl40b 7 c - - - gndio7 - p5 pl33a 7 ldq37 t (lvds)* pl41a 7 ldq45 t (lvds)* n5 pl33b 7 ldq37 c (lvds)* pl41b 7 ldq45 c (lvds)* p8 pl34a 7 ldq37 t pl42a 7 ldq45 t p6 pl34b 7 ldq37 c pl42b 7 ldq45 c vccio vccio7 7 vccio7 7 r3 pl35a 7 ldq37 t (lvds)* pl43a 7 ldq45 t (lvds)* r4 pl35b 7 ldq37 c (lvds)* pl43b 7 ldq45 c (lvds)* r10 pl36a 7 ldq37 t pl44a 7 ldq45 t p11 pl36b 7 ldq37 c pl44b 7 ldq45 c gndio gndio7 - gndio7 - r7 pl37a 7 ldqs37 t (lvds)* pl45a 7 ldqs45 t (lvds)* r8 pl37b 7 ldq37 c (lvds)* pl45b 7 ldq45 c (lvds)* r5 pl38a 7 ldq37 t pl46a 7 ldq45 t vccio vccio7 7 vccio7 7 t5 pl38b 7 ldq37 c pl46b 7 ldq45 c r1 pl39a 7 ldq37 t (lvds)* pl47a 7 ldq45 t (lvds)* r2 pl39b 7 ldq37 c (lvds)* pl47b 7 ldq45 c (lvds)* r11 pl40a 7 ldq37 t pl48a 7 ldq45 t gndio gndio7 - gndio7 - t10 pl40b 7 ldq37 c pl48b 7 ldq45 c t1 pl42a 7 lum3_spllt_in_a/ldq46 t (lvds)* pl50a 7 lum3_spllt_in_a/ldq54 t (lvds)* t2 pl42b 7 lum3_spllc_in_a/ldq46 c (lvds)* pl50b 7 lum3_spllc_in_a/ldq54 c (lvds)* u10 pl43a 7 lum3_spllt_fb_a/ldq46 t pl51a 7 lum3_spllt_fb_a/ldq54 t u8 pl43b 7 lum3_spllc_fb_a/ldq46 c pl51b 7 lum3_spllc_fb_a/ldq54 c vccio vccio7 7 vccio7 7 t6 pl44a 7 ldq46 t (lvds)* pl52a 7 ldq54 t (lvds)* lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-233 pinout information lattice semiconductor latticeecp2/m family data sheet r6 pl44b 7 ldq46 c (lvds)* pl52b 7 ldq54 c (lvds)* u9 pl45a 7 ldq46 t pl53a 7 ldq54 t t7 pl45b 7 ldq46 c pl53b 7 ldq54 c gndio gndio7 - gndio7 - u5 pl46a 7 ldqs46 t (lvds)* pl54a 7 ldqs54 t (lvds)* u6 pl46b 7 ldq46 c (lvds)* pl54b 7 ldq54 c (lvds)* u7 pl47a 7 ldq46 t pl55a 7 ldq54 t vccio vccio7 7 vccio7 7 v9 pl47b 7 ldq46 c pl55b 7 ldq54 c v11 pl48a 7 ldq46 t (lvds)* pl56a 7 ldq54 t (lvds)* v10 pl48b 7 ldq46 c (lvds)* pl56b 7 ldq54 c (lvds)* u4 pl49a 7 pclkt7_0/ldq46 t pl57a 7 pclkt7_0/ldq54 t gndio gndio7 - gndio7 - u3 pl49b 7 pclkc7_0/ldq46 c pl57b 7 pclkc7_0/ldq54 c u2 pl51a 6 pclkt6_0/ldq55 t (lvds)* pl59a 6 pclkt6_0/ldq63 t (lvds)* u1 pl51b 6 pclkc6_0/ldq55 c (lvds)* pl59b 6 pclkc6_0/ldq63 c (lvds)* v5 pl52a 6 vref2_6/ldq55 t pl60a 6 vref2_6/ldq63 t v6 pl52b 6 vref1_6/ldq55 c pl60b 6 vref1_6/ldq63 c v7 pl53a 6 ldq55 t (lvds)* pl61a 6 ldq63 t (lvds)* vccio vccio6 6 vccio6 6 v8 pl53b 6 ldq55 c (lvds)* pl61b 6 ldq63 c (lvds)* v4 pl54a 6 ldq55 t pl62a 6 ldq63 t v3 pl54b 6 ldq55 c pl62b 6 ldq63 c v2 pl55a 6 ldqs55 t (lvds)* pl63a 6 ldqs63 t (lvds)* gndio gndio6 - gndio6 - v1 pl55b 6 ldq55 c (lvds)* pl63b 6 ldq63 c (lvds)* w 7 pl56a 6 ldq55 t pl64a 6 ldq63 t w 5 pl56b 6 ldq55 c pl64b 6 ldq63 c vccio vccio6 6 vccio6 6 w 2 pl57a 6 llm3_spllt_in_a/ldq55 t (lvds)* pl65a 6 llm4_spllt_in_a/ldq63 t (lvds)* w 1 pl57b 6 llm3_spllc_in_a/ldq55 c (lvds)* pl65b 6 llm4_spllc_in_a/ldq63 c (lvds)* y6 pl58a 6 llm3_spllt_fb_a/ldq55 t pl66a 6 llm4_spllt_fb_a/ldq63 t w 6 pl58b 6 llm3_spllc_fb_a/ldq55 c pl66b 6 llm4_spllc_fb_a/ldq63 c gndio gndio6 - gndio6 - y1 pl60a 6 ldq64 t (lvds)* pl68a 6 ldq72 t (lvds)* y2 pl60b 6 ldq64 c (lvds)* pl68b 6 ldq72 c (lvds)* y7 pl61a 6 ldq64 t pl69a 6 ldq72 t y5 pl61b 6 ldq64 c pl69b 6 ldq72 c vccio vccio6 6 vccio6 6 w 10 pl62a 6 ldq64 t (lvds)* pl70a 6 ldq72 t (lvds)* y8 pl62b 6 ldq64 c (lvds)* pl70b 6 ldq72 c (lvds)* y4 pl63a 6 ldq64 t pl71a 6 ldq72 t y3 pl63b 6 ldq64 c pl71b 6 ldq72 c gndio gndio6 - gndio6 - aa1 pl64a 6 ldqs64 t (lvds)* pl72a 6 ldqs72 t (lvds)* aa2 pl64b 6 ldq64 c (lvds)* pl72b 6 ldq72 c (lvds)* aa8 pl65a 6 ldq64 t pl73a 6 ldq72 t vccio vccio6 6 vccio6 6 y9 pl65b 6 ldq64 c pl73b 6 ldq72 c aa6 pl66a 6 ldq64 t (lvds)* pl74a 6 ldq72 t (lvds)* aa7 pl66b 6 ldq64 c (lvds)* pl74b 6 ldq72 c (lvds)* lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-234 pinout information lattice semiconductor latticeecp2/m family data sheet aa4 pl67a 6 ldq64 t pl75a 6 ldq72 t gndio gndio6 - gndio6 - aa3 pl67b 6 ldq64 c pl75b 6 ldq72 c aa9 pl69a 6 ldq73 t (lvds)* pl77a 6 ldq81 t (lvds)* aa10 pl69b 6 ldq73 c (lvds)* pl77b 6 ldq81 c (lvds)* aa5 pl70a 6 ldq73 t pl78a 6 ldq81 t ab6 pl70b 6 ldq73 c pl78b 6 ldq81 c ab1 pl71a 6 ldq73 t (lvds)* pl79a 6 ldq81 t (lvds)* vccio vccio6 6 vccio6 6 ab2 pl71b 6 ldq73 c (lvds)* pl79b 6 ldq81 c (lvds)* ac8 pl72a 6 ldq73 t pl80a 6 ldq81 t ab10 pl72b 6 ldq73 c pl80b 6 ldq81 c ac1 pl73a 6 ldqs73 t (lvds)* pl81a 6 ldqs81 t (lvds)* gndio gndio6 - gndio6 - ac2 pl73b 6 ldq73 c (lvds)* pl81b 6 ldq81 c (lvds)* ab7 pl74a 6 ldq73 t pl82a 6 ldq81 t ab5 pl74b 6 ldq73 c pl82b 6 ldq81 c vccio vccio6 6 vccio6 6 ac3 pl75a 6 ldq73 t (lvds)* pl83a 6 ldq81 t (lvds)* ac4 pl75b 6 ldq73 c (lvds)* pl83b 6 ldq81 c (lvds)* ac10 pl76a 6 ldq73 t pl84a 6 ldq81 t ac9 pl76b 6 ldq73 c pl84b 6 ldq81 c gndio gndio6 - gndio6 - ac7 nc - pl86a 6 ldq90 t (lvds)* ac5 nc - pl86b 6 ldq90 c (lvds)* ac6 nc - pl87a 6 ldq90 t ad5 nc - pl87b 6 ldq90 c - - - vccio6 6 ad4 nc - pl88a 6 ldq90 t (lvds)* ad3 nc - pl88b 6 ldq90 c (lvds)* ad10 nc - pl89a 6 ldq90 t ad8 nc - pl89b 6 ldq90 c - - - gndio6 - ad2 nc - pl90a 6 ldqs90 t (lvds)* ad1 nc - pl90b 6 ldq90 c (lvds)* ad9 nc - pl91a 6 ldq90 t - - - vccio6 6 ac11 nc - pl91b 6 ldq90 c ad6 nc - pl92a 6 ldq90 t (lvds)* ad7 nc - pl92b 6 ldq90 c (lvds)* ae1 nc - pl93a 6 ldq90 t - - - gndio6 - ae2 nc - pl93b 6 ldq90 c af2 pl78a 6 ldq82 t (lvds)* pl95a 6 ldq99 t (lvds)* af1 pl78b 6 ldq82 c (lvds)* pl95b 6 ldq99 c (lvds)* ae5 pl79a 6 ldq82 t pl96a 6 ldq99 t ae6 pl79b 6 ldq82 c pl96b 6 ldq99 c af4 pl80a 6 ldq82 t (lvds)* pl97a 6 ldq99 t (lvds)* vccio vccio6 6 vccio6 6 af3 pl80b 6 ldq82 c (lvds)* pl97b 6 ldq99 c (lvds)* af5 pl81a 6 ldq82 t pl98a 6 ldq99 t lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-235 pinout information lattice semiconductor latticeecp2/m family data sheet af6 pl81b 6 ldq82 c pl98b 6 ldq99 c ag1 pl82a 6 llm0_gpllt_in_a**/ldqs82 t (lvds)* pl99a 6 llm0_gpllt_in_a**/ ldqs99 t (lvds)* gndio gndio6 - gndio6 - ag2 pl82b 6 llm0_gpllc_in_a**/ldq82 c (lvds)* pl99b 6 llm0_gpllc_in_a**/ ldq99 c (lvds)* ae9 pl83a 6 llm0_gpllt_fb_a/ldq82 t pl100a 6 llm0_gpllt_fb_a/ldq99 t af7 pl83b 6 llm0_gpllc_fb_a/ldq82 c pl100b 6 llm0_gpllc_fb_a/ldq99 c vccio vccio6 6 vccio6 6 ah1 pl84a 6 llm0_gdllt_in_a**/ldq82 t (lvds)* pl101a 6 llm0_gdllt_in_a**/ldq99 t (lvds)* ah2 pl84b 6 llm0_gdllc_in_a**/ldq82 c (lvds)* pl101b 6 llm0_gdllc_in_a**/ ldq99 c (lvds)* ag5 pl85a 6 llm0_gdllt_fb_a/ldq82 t pl102a 6 llm0_gdllt_fb_a/ldq99 t ag4 pl85b 6 llm0_gdllc_fb_a/ldq82 c pl102b 6 llm0_gdllc_fb_a/ldq99 c gndio gndio6 - gndio6 - ag6 llm0_pllcap 6 llm0_pllcap 6 aj1 pl87a 6 t pl104a 6 t aj2 pl87b 6 c pl104b 6 c ak2 tck - tck - ak1 tdi - tdi - al1 tms - tms - af10 tdo - tdo - ak3 vccj - vccj - an2 llc_sq_vccrx3 14 llc_sq_vccrx3 14 am2 llc_sq_hdinp3 14 t llc_sq_hdinp3 14 t an1 llc_sq_vccib3 14 llc_sq_vccib3 14 am3 llc_sq_hdinn3 14 c llc_sq_hdinn3 14 c an3 llc_sq_vcctx3 14 llc_sq_vcctx3 14 ap2 llc_sq_hdoutp3 14 t llc_sq_hdoutp3 14 t am1 llc_sq_vccob3 14 llc_sq_vccob3 14 ap3 llc_sq_hdoutn3 14 c llc_sq_hdoutn3 14 c an4 llc_sq_vcctx2 14 llc_sq_vcctx2 14 ap4 llc_sq_hdoutn2 14 c llc_sq_hdoutn2 14 c al3 llc_sq_vccob2 14 llc_sq_vccob2 14 ap5 llc_sq_hdoutp2 14 t llc_sq_hdoutp2 14 t an5 llc_sq_vccrx2 14 llc_sq_vccrx2 14 am4 llc_sq_hdinn2 14 c llc_sq_hdinn2 14 c al4 llc_sq_vccib2 14 llc_sq_vccib2 14 am5 llc_sq_hdinp2 14 t llc_sq_hdinp2 14 t al6 llc_sq_vccp 14 llc_sq_vccp 14 al5 llc_sq_refclkp 14 t llc_sq_refclkp 14 t ak5 llc_sq_refclkn 14 c llc_sq_refclkn 14 c ak6 llc_sq_vccaux33 14 llc_sq_vccaux33 14 am6 llc_sq_hdinp1 14 t llc_sq_hdinp1 14 t al8 llc_sq_vccib1 14 llc_sq_vccib1 14 am7 llc_sq_hdinn1 14 c llc_sq_hdinn1 14 c an6 llc_sq_vccrx1 14 llc_sq_vccrx1 14 ap6 llc_sq_hdoutp1 14 t llc_sq_hdoutp1 14 t ak7 llc_sq_vccob1 14 llc_sq_vccob1 14 ap7 llc_sq_hdoutn1 14 c llc_sq_hdoutn1 14 c an7 llc_sq_vcctx1 14 llc_sq_vcctx1 14 ap8 llc_sq_hdoutn0 14 c llc_sq_hdoutn0 14 c lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-236 pinout information lattice semiconductor latticeecp2/m family data sheet al9 llc_sq_vccob0 14 llc_sq_vccob0 14 ap9 llc_sq_hdoutp0 14 t llc_sq_hdoutp0 14 t an8 llc_sq_vcctx0 14 llc_sq_vcctx0 14 am8 llc_sq_hdinn0 14 c llc_sq_hdinn0 14 c an9 llc_sq_vccib0 14 llc_sq_vccib0 14 am9 llc_sq_hdinp0 14 t llc_sq_hdinp0 14 t al7 llc_sq_vccrx0 14 llc_sq_vccrx0 14 - - - vccio5 5 aj12 nc - pb32a 5 bdq33 t ah12 nc - pb32b 5 bdq33 c - - - gndio5 - - - - vccio5 5 al13 nc - pb36a 5 bdq33 t ak13 nc - pb36b 5 bdq33 c - - - gndio5 - ae14 nc - pb38a 5 bdq42 t ag13 nc - pb38b 5 bdq42 c an14 pb30a 5 bdq33 t pb39a 5 bdq42 t ap14 pb30b 5 bdq33 c pb39b 5 bdq42 c ah14 pb31a 5 bdq33 t pb40a 5 bdq42 t aj15 pb31b 5 bdq33 c pb40b 5 bdq42 c vccio vccio5 5 vccio5 5 gndio gndio5 - gndio5 - al14 pb33a 5 bdqs33 t pb42a 5 bdqs42 t am14 pb33b 5 bdq33 c pb42b 5 bdq42 c af14 pb35a 5 bdq33 t pb44a 5 bdq42 t af13 pb35b 5 bdq33 c pb44b 5 bdq42 c vccio vccio5 5 vccio5 5 ae15 pb36a 5 bdq33 t pb45a 5 bdq42 t ag14 pb36b 5 bdq33 c pb45b 5 bdq42 c ah15 pb37a 5 bdq33 t pb46a 5 bdq42 t ak15 pb37b 5 bdq33 c pb46b 5 bdq42 c gndio gndio5 - gndio5 - al15 pb38a 5 bdq42 t pb47a 5 bdq51 t am15 pb38b 5 bdq42 c pb47b 5 bdq51 c ak16 pb39a 5 bdq42 t pb48a 5 bdq51 t aj16 pb39b 5 bdq42 c pb48b 5 bdq51 c an15 pb40a 5 bdq42 t pb49a 5 bdq51 t vccio vccio5 5 vccio5 5 ap15 pb40b 5 bdq42 c pb49b 5 bdq51 c ag15 pb42a 5 bdqs42 t pb51a 5 bdqs51 t gndio gndio5 - gndio5 - ae16 pb42b 5 bdq42 c pb51b 5 bdq51 c af15 pb44a 5 bdq42 t pb53a 5 bdq51 t vccio vccio5 5 vccio5 5 ad16 pb44b 5 bdq42 c pb53b 5 bdq51 c ak17 pb45a 5 bdq42 t pb54a 5 bdq51 t ah16 pb45b 5 bdq42 c pb54b 5 bdq51 c an16 pb46a 5 bdq42 t pb55a 5 bdq51 t gndio gndio5 - gndio5 - ap16 pb46b 5 bdq42 c pb55b 5 bdq51 c lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-237 pinout information lattice semiconductor latticeecp2/m family data sheet al17 pb47a 5 bdq51 t pb56a 5 bdq60 t am17 pb47b 5 bdq51 c pb56b 5 bdq60 c an17 pb48a 5 bdq51 t pb57a 5 bdq60 t ap17 pb48b 5 bdq51 c pb57b 5 bdq60 c ad17 pb49a 5 bdq51 t pb58a 5 bdq60 t ae17 pb49b 5 bdq51 c pb58b 5 bdq60 c vccio vccio5 5 vccio5 5 al18 pb50a 5 bdq51 t pb59a 5 bdq60 t am18 pb50b 5 bdq51 c pb59b 5 bdq60 c gndio gndio5 - gndio5 - ap18 pb51a 5 bdqs51 t pb60a 5 bdqs60 t an18 pb51b 5 bdq51 c pb60b 5 bdq60 c ag17 pb52a 5 vref2_5/bdq51 t pb61a 5 vref2_5/bdq60 t aj17 pb52b 5 vref1_5/bdq51 c pb61b 5 vref1_5/bdq60 c af17 pb53a 5 pclkt5_0/bdq51 t pb62a 5 pclkt5_0/bdq60 t ah17 pb53b 5 pclkc5_0/bdq51 c pb62b 5 pclkc5_0/bdq60 c vccio vccio5 5 vccio5 5 gndio gndio5 - gndio5 - af18 pb58a 4 pclkt4_0/bdq60 t pb67a 4 pclkt4_0/bdq69 t vccio vccio4 4 vccio4 4 ad18 pb58b 4 pclkc4_0/bdq60 c pb67b 4 pclkc4_0/bdq69 c ap19 pb59a 4 vref2_4/bdq60 t pb68a 4 vref2_4/bdq69 t an19 pb59b 4 vref1_4/bdq60 c pb68b 4 vref1_4/bdq69 c ap20 pb60a 4 bdqs60 t pb69a 4 bdqs69 t gndio gndio4 - gndio4 - am20 pb60b 4 bdq60 c pb69b 4 bdq69 c an20 pb61a 4 bdq60 t pb70a 4 bdq69 t am21 pb61b 4 bdq60 c pb70b 4 bdq69 c ag18 pb62a 4 bdq60 t pb71a 4 bdq69 t vccio vccio4 4 vccio4 4 ae18 pb62b 4 bdq60 c pb71b 4 bdq69 c aj18 pb63a 4 bdq60 t pb72a 4 bdq69 t ah18 pb63b 4 bdq60 c pb72b 4 bdq69 c ak18 pb64a 4 bdq60 t pb73a 4 bdq69 t gndio gndio4 - gndio4 - ak19 pb64b 4 bdq60 c pb73b 4 bdq69 c ap21 pb65a 4 bdq69 t pb74a 4 bdq78 t an21 pb65b 4 bdq69 c pb74b 4 bdq78 c al20 pb66a 4 bdq69 t pb75a 4 bdq78 t ak20 pb66b 4 bdq69 c pb75b 4 bdq78 c an22 pb67a 4 bdq69 t pb76a 4 bdq78 t al21 pb67b 4 bdq69 c pb76b 4 bdq78 c vccio vccio4 4 vccio4 4 gndio gndio4 - gndio4 - ah19 pb69a 4 bdqs69 t pb78a 4 bdqs78 t aj20 pb69b 4 bdq69 c pb78b 4 bdq78 c ad20 pb71a 4 bdq69 t pb80a 4 bdq78 t af20 pb71b 4 bdq69 c pb80b 4 bdq78 c vccio vccio4 4 vccio4 4 aj19 pb72a 4 bdq69 t pb81a 4 bdq78 t ah20 pb72b 4 bdq69 c pb81b 4 bdq78 c lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-238 pinout information lattice semiconductor latticeecp2/m family data sheet ae20 pb73a 4 bdq69 t pb82a 4 bdq78 t ag20 pb73b 4 bdq69 c pb82b 4 bdq78 c gndio gndio4 - gndio4 - ah22 nc - pb89a 4 bdq87 t - - - vccio4 4 ah21 nc - pb89b 4 bdq87 c ag22 nc - pb90a 4 bdq87 t ag21 nc - pb90b 4 bdq87 c - - - gndio4 - am22 pb74a 4 bdq78 t pb92a 4 bdq96 t al22 pb74b 4 bdq78 c pb92b 4 bdq96 c vccio vccio4 4 vccio4 4 ap23 pb77a 4 bdq78 t pb95a 4 bdq96 t an23 pb77b 4 bdq78 c pb95b 4 bdq96 c gndio gndio4 - gndio4 - am24 pb78a 4 bdqs78 t pb96a 4 bdqs96 t al24 pb78b 4 bdq78 c pb96b 4 bdq96 c ak22 pb79a 4 bdq78 t pb97a 4 bdq96 t aj22 pb79b 4 bdq78 c pb97b 4 bdq96 c al23 pb80a 4 bdq78 t pb98a 4 bdq96 t ak23 pb80b 4 bdq78 c pb98b 4 bdq96 c vccio vccio4 4 vccio4 4 aj23 pb81a 4 bdq78 t pb99a 4 bdq96 t ah23 pb81b 4 bdq78 c pb99b 4 bdq96 c gndio gndio4 - gndio4 - al28 lrc_sq_vccrx3 13 lrc_sq_vccrx3 13 am26 lrc_sq_hdinp3 13 t lrc_sq_hdinp3 13 t an26 lrc_sq_vccib3 13 lrc_sq_vccib3 13 am27 lrc_sq_hdinn3 13 c lrc_sq_hdinn3 13 c an27 lrc_sq_vcctx3 13 lrc_sq_vcctx3 13 ap26 lrc_sq_hdoutp3 13 t lrc_sq_hdoutp3 13 t al26 lrc_sq_vccob3 13 lrc_sq_vccob3 13 ap27 lrc_sq_hdoutn3 13 c lrc_sq_hdoutn3 13 c an28 lrc_sq_vcctx2 13 lrc_sq_vcctx2 13 ap28 lrc_sq_hdoutn2 13 c lrc_sq_hdoutn2 13 c ak28 lrc_sq_vccob2 13 lrc_sq_vccob2 13 ap29 lrc_sq_hdoutp2 13 t lrc_sq_hdoutp2 13 t an29 lrc_sq_vccrx2 13 lrc_sq_vccrx2 13 am28 lrc_sq_hdinn2 13 c lrc_sq_hdinn2 13 c al27 lrc_sq_vccib2 13 lrc_sq_vccib2 13 am29 lrc_sq_hdinp2 13 t lrc_sq_hdinp2 13 t al29 lrc_sq_vccp 13 lrc_sq_vccp 13 al30 lrc_sq_refclkp 13 t lrc_sq_refclkp 13 t ak30 lrc_sq_refclkn 13 c lrc_sq_refclkn 13 c ak29 lrc_sq_vccaux33 13 lrc_sq_vccaux33 13 am30 lrc_sq_hdinp1 13 t lrc_sq_hdinp1 13 t al31 lrc_sq_vccib1 13 lrc_sq_vccib1 13 am31 lrc_sq_hdinn1 13 c lrc_sq_hdinn1 13 c an30 lrc_sq_vccrx1 13 lrc_sq_vccrx1 13 ap30 lrc_sq_hdoutp1 13 t lrc_sq_hdoutp1 13 t al32 lrc_sq_vccob1 13 lrc_sq_vccob1 13 lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-239 pinout information lattice semiconductor latticeecp2/m family data sheet ap31 lrc_sq_hdoutn1 13 c lrc_sq_hdoutn1 13 c an31 lrc_sq_vcctx1 13 lrc_sq_vcctx1 13 ap32 lrc_sq_hdoutn0 13 c lrc_sq_hdoutn0 13 c am34 lrc_sq_vccob0 13 lrc_sq_vccob0 13 ap33 lrc_sq_hdoutp0 13 t lrc_sq_hdoutp0 13 t an32 lrc_sq_vcctx0 13 lrc_sq_vcctx0 13 am32 lrc_sq_hdinn0 13 c lrc_sq_hdinn0 13 c an34 lrc_sq_vccib0 13 lrc_sq_vccib0 13 am33 lrc_sq_hdinp0 13 t lrc_sq_hdinp0 13 t an33 lrc_sq_vccrx0 13 lrc_sq_vccrx0 13 ah28 cfg2 8 cfg2 8 ad24 cfg1 8 cfg1 8 aj29 cfg0 8 cfg0 8 af25 programn 8 programn 8 aj28 cclk 8 cclk 8 ae25 initn 8 initn 8 ak31 done 8 done 8 gndio gndio8 - gndio8 - ae24 w riten*** 8 w riten*** 8 aj30 cs1n*** 8 cs1n*** 8 ad25 csn*** 8 csn*** 8 ag29 d0/spifastn*** 8 d0/spifastn*** 8 vccio vccio8 8 vccio8 8 ag28 d1*** 8 d1*** 8 ag30 d2*** 8 d2*** 8 ah29 d3*** 8 d3*** 8 gndio gndio8 - gndio8 - af26 d4*** 8 d4*** 8 ah30 d5*** 8 d5*** 8 ae26 d6*** 8 d6*** 8 aj31 d7*** 8 d7*** 8 vccio vccio8 8 vccio8 8 ag27 di/csspi0n*** 8 di/csspi0n*** 8 ak32 dout/cson/ csspi1n*** 8 dout/cson/ csspi1n*** 8 ak33 busy/sispi*** 8 busy/sispi*** 8 af27 rlm0_pllcap 3 rlm0_pllcap 3 af28 pr85b 3 rlm0_gdllc_fb_a c pr102b 3 rlm0_gdllc_fb_a/rdq99 c gndio gndio3 - gndio3 - ad26 pr85a 3 rlm0_gdllt_fb_a t pr102a 3 rlm0_gdllt_fb_a/rdq99 t aj32 pr84b 3 rlm0_gdllc_in_a** c (lvds)* pr101b 3 rlm0_gdllc_in_a**/ rdq99 c (lvds)* aj33 pr84a 3 rlm0_gdllt_in_a** t (lvds)* pr101a 3 rlm0_gdllt_in_a**/ rdq99 t (lvds)* aj34 pr83b 3 rlm0_gpllc_in_a** c pr100b 3 rlm0_gpllc_in_a**/ rdq99 c vccio vccio3 3 vccio3 3 ak34 pr83a 3 rlm0_gpllt_in_a** t pr100a 3 rlm0_gpllt_in_a**/ rdq99 t ah33 pr82b 3 rlm0_gpllc_fb_a c (lvds)* pr99b 3 rlm0_gpllc_fb_a/rdq99 c (lvds)* ah34 pr82a 3 rlm0_gpllt_fb_a/rdqs82**** t (lvds)* pr99a 3 rlm0_gpllt_fb_a/ rdqs99 t (lvds)* gndio gndio3 - gndio3 - lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-240 pinout information lattice semiconductor latticeecp2/m family data sheet af29 pr81b 3 rdq82 c pr98b 3 rdq99 c af31 pr81a 3 rdq82 t pr98a 3 rdq99 t ag33 pr80b 3 rdq82 c (lvds)* pr97b 3 rdq99 c (lvds)* ag34 pr80a 3 rdq82 t (lvds)* pr97a 3 rdq99 t (lvds)* vccio vccio3 3 vccio3 3 af30 pr79b 3 rdq82 c pr96b 3 rdq99 c af32 pr79a 3 rdq82 t pr96a 3 rdq99 t ae29 pr78b 3 rdq82 c (lvds)* pr95b 3 rdq99 c (lvds)* ae30 pr78a 3 rdq82 t (lvds)* pr95a 3 rdq99 t (lvds)* af33 nc - pr93b 3 rdq90 c af34 nc - pr93a 3 rdq90 t - - - gndio3 - ac27 nc - pr92b 3 rdq90 c (lvds)* ac28 nc - pr92a 3 rdq90 t (lvds)* ad29 nc - pr91b 3 rdq90 c ad30 nc - pr91a 3 rdq90 t - - - vccio3 3 ae33 nc - pr90b 3 rdq90 c (lvds)* ae34 nc - pr90a 3 rdqs90 t (lvds)* ad32 nc - pr89b 3 rdq90 c - - - gndio3 - ad31 nc - pr89a 3 rdq90 t ab25 nc - pr88b 3 rdq90 c (lvds)* ac25 nc - pr88a 3 rdq90 t (lvds)* ab28 nc - pr87b 3 rdq90 c - - - vccio3 3 aa26 nc - pr87a 3 rdq90 t ad33 nc - pr86b 3 rdq90 c (lvds)* ad34 nc - pr86a 3 rdq90 t (lvds)* ac29 pr76b 3 rdq73 c pr84b 3 rdq81 c gndio gndio3 - gndio3 - aa27 pr76a 3 rdq73 t pr84a 3 rdq81 t ac32 pr75b 3 rdq73 c (lvds)* pr83b 3 rdq81 c (lvds)* ac31 pr75a 3 rdq73 t (lvds)* pr83a 3 rdq81 t (lvds)* aa25 pr74b 3 rdq73 c pr82b 3 rdq81 c vccio vccio3 3 vccio3 3 ac24 pr74a 3 rdq73 t pr82a 3 rdq81 t ac33 pr73b 3 rdq73 c (lvds)* pr81b 3 rdq81 c (lvds)* ac34 pr73a 3 rdqs73 t (lvds)* pr81a 3 rdqs81 t (lvds)* gndio gndio3 - gndio3 - ab24 pr72b 3 rdq73 c pr80b 3 rdq81 c y26 pr72a 3 rdq73 t pr80a 3 rdq81 t ab33 pr71b 3 rdq73 c (lvds)* pr79b 3 rdq81 c (lvds)* ab34 pr71a 3 rdq73 t (lvds)* pr79a 3 rdq81 t (lvds)* vccio vccio3 3 vccio3 3 y27 pr70b 3 rdq73 c pr78b 3 rdq81 c ab29 pr70a 3 rdq73 t pr78a 3 rdq81 t aa34 pr69b 3 rdq73 c (lvds)* pr77b 3 rdq81 c (lvds)* aa33 pr69a 3 rdq73 t (lvds)* pr77a 3 rdq81 t (lvds)* aa31 pr67b 3 rdq64 c pr75b 3 rdq72 c aa32 pr67a 3 rdq64 t pr75a 3 rdq72 t lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-241 pinout information lattice semiconductor latticeecp2/m family data sheet gndio gndio3 - gndio3 - aa28 pr66b 3 rdq64 c (lvds)* pr74b 3 rdq72 c (lvds)* aa29 pr66a 3 rdq64 t (lvds)* pr74a 3 rdq72 t (lvds)* aa30 pr65b 3 rdq64 c pr73b 3 rdq72 c ab30 pr65a 3 rdq64 t pr73a 3 rdq72 t vccio vccio3 3 vccio3 3 y28 pr64b 3 rdq64 c (lvds)* pr72b 3 rdq72 c (lvds)* y29 pr64a 3 rdqs64 t (lvds)* pr72a 3 rdqs72 t (lvds)* aa24 pr63b 3 rdq64 c pr71b 3 rdq72 c gndio gndio3 - gndio3 - y25 pr63a 3 rdq64 t pr71a 3 rdq72 t y31 pr62b 3 rdq64 c (lvds)* pr70b 3 rdq72 c (lvds)* y30 pr62a 3 rdq64 t (lvds)* pr70a 3 rdq72 t (lvds)* y24 pr61b 3 rdq64 c pr69b 3 rdq72 c vccio vccio3 3 vccio3 3 w 25 pr61a 3 rdq64 t pr69a 3 rdq72 t y33 pr60b 3 rdq64 c (lvds)* pr68b 3 rdq72 c (lvds)* y34 pr60a 3 rdq64 t (lvds)* pr68a 3 rdq72 t (lvds)* w 28 pr58b 3 rlm3_spllc_fb_a/rdq55 c pr66b 3 rlm4_spllc_fb_a/rdq63 c gndio gndio3 - gndio3 - v26 pr58a 3 rlm3_spllt_fb_a/rdq55 t pr66a 3 rlm4_spllt_fb_a/rdq63 t v28 pr57b 3 rlm3_spllc_in_a/rdq55 c (lvds)* pr65b 3 rlm4_spllc_in_a/rdq63 c (lvds)* v27 pr57a 3 rlm3_spllt_in_a/rdq55 t (lvds)* pr65a 3 rlm4_spllt_in_a/rdq63 t (lvds)* v25 pr56b 3 rdq55 c pr64b 3 rdq63 c vccio vccio3 3 vccio3 3 w 24 pr56a 3 rdq55 t pr64a 3 rdq63 t w 33 pr55b 3 rdq55 c (lvds)* pr63b 3 rdq63 c (lvds)* w 34 pr55a 3 rdqs55 t (lvds)* pr63a 3 rdqs63 t (lvds)* gndio gndio3 - gndio3 - v24 pr54b 3 rdq55 c pr62b 3 rdq63 c u26 pr54a 3 rdq55 t pr62a 3 rdq63 t w 29 pr53b 3 rdq55 c (lvds)* pr61b 3 rdq63 c (lvds)* w 30 pr53a 3 rdq55 t (lvds)* pr61a 3 rdq63 t (lvds)* vccio vccio3 3 vccio3 3 u27 pr52b 3 vref2_3/rdq55 c pr60b 3 vref2_3/rdq63 c v29 pr52a 3 vref1_3/rdq55 t pr60a 3 vref1_3/rdq63 t v31 pr51b 3 pclkc3_0/rdq55 c (lvds)* pr59b 3 pclkc3_0/rdq63 c (lvds)* v32 pr51a 3 pclkt3_0/rdq55 t (lvds)* pr59a 3 pclkt3_0/rdq63 t (lvds)* v33 pr49b 2 pclkc2_0/rdq46 c pr57b 2 pclkc2_0/rdq54 c v34 pr49a 2 pclkt2_0/rdq46 t pr57a 2 pclkt2_0/rdq54 t gndio gndio2 - gndio2 - u24 pr48b 2 rdq46 c (lvds)* pr56b 2 rdq54 c (lvds)* u25 pr48a 2 rdq46 t (lvds)* pr56a 2 rdq54 t (lvds)* v30 pr47b 2 rdq46 c pr55b 2 rdq54 c y32 pr47a 2 rdq46 t pr55a 2 rdq54 t vccio vccio2 2 vccio2 2 u28 pr46b 2 rdq46 c (lvds)* pr54b 2 rdq54 c (lvds)* u29 pr46a 2 rdqs46 t (lvds)* pr54a 2 rdqs54 t (lvds)* u33 pr45b 2 rdq46 c pr53b 2 rdq54 c gndio gndio2 - gndio2 - u34 pr45a 2 rdq46 t pr53a 2 rdq54 t lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-242 pinout information lattice semiconductor latticeecp2/m family data sheet t30 pr44b 2 rdq46 c (lvds)* pr52b 2 rdq54 c (lvds)* u30 pr44a 2 rdq46 t (lvds)* pr52a 2 rdq54 t (lvds)* t29 pr43b 2 rum3_spllc_fb_a/rdq46 c pr51b 2 rum3_spllc_fb_a/rdq54 c vccio vccio2 2 vccio2 2 t28 pr43a 2 rum3_spllt_fb_a/rdq46 t pr51a 2 rum3_spllt_fb_a/rdq54 t u31 pr42b 2 rum3_spllc_in_a/rdq46 c (lvds)* pr50b 2 rum3_spllc_in_a/rdq54 c (lvds)* u32 pr42a 2 rum3_spllt_in_a/rdq46 t (lvds)* pr50a 2 rum3_spllt_in_a/rdq54 t (lvds)* t33 pr40b 2 rdq37 c pr48b 2 rdq45 c t34 pr40a 2 rdq37 t pr48a 2 rdq45 t gndio gndio2 - gndio2 - r27 pr39b 2 rdq37 c (lvds)* pr47b 2 rdq45 c (lvds)* r28 pr39a 2 rdq37 t (lvds)* pr47a 2 rdq45 t (lvds)* r29 pr38b 2 rdq37 c pr46b 2 rdq45 c r30 pr38a 2 rdq37 t pr46a 2 rdq45 t vccio vccio2 2 vccio2 2 r33 pr37b 2 rdq37 c (lvds)* pr45b 2 rdq45 c (lvds)* r34 pr37a 2 rdqs37 t (lvds)* pr45a 2 rdqs45 t (lvds)* r32 pr36b 2 rdq37 c pr44b 2 rdq45 c gndio gndio2 - gndio2 - r31 pr36a 2 rdq37 t pr44a 2 rdq45 t p34 pr35b 2 rdq37 c (lvds)* pr43b 2 rdq45 c (lvds)* p33 pr35a 2 rdq37 t (lvds)* pr43a 2 rdq45 t (lvds)* r26 pr34b 2 rdq37 c pr42b 2 rdq45 c vccio vccio2 2 vccio2 2 t25 pr34a 2 rdq37 t pr42a 2 rdq45 t p28 pr33b 2 rdq37 c (lvds)* pr41b 2 rdq45 c (lvds)* p27 pr33a 2 rdq37 t (lvds)* pr41a 2 rdq45 t (lvds)* p30 nc - pr40b 2 c - - - gndio2 - p29 nc - pr40a 2 t p31 nc - pr39b 2 c (lvds)* p32 nc - pr39a 2 t (lvds)* r25 nc - pr38b 2 c - - - vccio2 2 t24 nc - pr38a 2 t n34 nc - pr37b 2 c (lvds)* n33 nc - pr37a 2 t (lvds)* gndio gndio2 - gndio2 - m34 pr31b 2 rdq28 c pr35b 2 rdq32 c m33 pr31a 2 rdq28 t pr35a 2 rdq32 t - - - gndio2 - r24 pr30b 2 rdq28 c (lvds)* pr34b 2 rdq32 c (lvds)* p24 pr30a 2 rdq28 t (lvds)* pr34a 2 rdq32 t (lvds)* n30 pr29b 2 rdq28 c pr33b 2 rdq32 c m29 pr29a 2 rdq28 t pr33a 2 rdq32 t vccio vccio2 2 vccio2 2 n28 pr28b 2 rdq28 c (lvds)* pr32b 2 rdq32 c (lvds)* n29 pr28a 2 rdqs28 t (lvds)* pr32a 2 rdqs32 t (lvds)* n24 pr27b 2 rdq28 c pr31b 2 rdq32 c gndio gndio2 - gndio2 - n25 pr27a 2 rdq28 t pr31a 2 rdq32 t lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-243 pinout information lattice semiconductor latticeecp2/m family data sheet m28 pr26b 2 rdq28 c (lvds)* pr30b 2 rdq32 c (lvds)* m27 pr26a 2 rdq28 t (lvds)* pr30a 2 rdq32 t (lvds)* l27 pr25b 2 rdq28 c pr29b 2 rdq32 c vccio vccio2 2 vccio2 2 m26 pr25a 2 rdq28 t pr29a 2 rdq32 t m32 pr24b 2 rdq28 c (lvds)* pr28b 2 rdq32 c (lvds)* m31 pr24a 2 rdq28 t (lvds)* pr28a 2 rdq32 t (lvds)* gndio gndio2 - gndio2 - - - - vccio2 2 l34 pr22b 2 c pr22b 2 rdq23 c l33 pr22a 2 t pr22a 2 rdq23 t l32 pr21b 2 c (lvds)* pr21b 2 rdq23 c (lvds)* l31 pr21a 2 t (lvds)* pr21a 2 rdq23 t (lvds)* vccio vccio2 2 vccio2 2 l28 pr20b 2 c pr20b 2 rdq23 c l29 pr20a 2 t pr20a 2 rdq23 t m30 pr19b 2 c (lvds)* pr19b 2 rdq23 c (lvds)* l30 pr19a 2 t (lvds)* pr19a 2 rdq23 t (lvds)* k34 pr18b 2 rdq15 c pr18b 2 rdq15 c k33 pr18a 2 rdq15 t pr18a 2 rdq15 t gndio gndio2 - gndio2 - k30 pr17b 2 rdq15 c (lvds)* pr17b 2 rdq15 c (lvds)* k29 pr17a 2 rdq15 t (lvds)* pr17a 2 rdq15 t (lvds)* j34 pr16b 2 rdq15 c pr16b 2 rdq15 c j33 pr16a 2 rdq15 t pr16a 2 rdq15 t vccio vccio2 2 vccio2 2 j32 pr15b 2 rdq15 c (lvds)* pr15b 2 rdq15 c (lvds)* j31 pr15a 2 rdqs15 t (lvds)* pr15a 2 rdqs15 t (lvds)* h33 pr14b 2 rdq15 c pr14b 2 rdq15 c gndio gndio2 - gndio2 - h34 pr14a 2 rdq15 t pr14a 2 rdq15 t j30 pr13b 2 rdq15 c (lvds)* pr13b 2 rdq15 c (lvds)* j29 pr13a 2 rdq15 t (lvds)* pr13a 2 rdq15 t (lvds)* vccio vccio2 2 vccio2 2 j27 pr11b 2 rum0_spllc_in_a/rdq15 c (lvds)* pr11b 2 rum0_spllc_in_a/rdq15 c (lvds)* j28 pr11a 2 rum0_spllt_in_a/rdq15 t (lvds)* pr11a 2 rum0_spllt_in_a/rdq15 t (lvds)* h31 pr9b 2 vref2_2 c pr9b 2 vref2_2 c gndio gndio2 - gndio2 - h32 pr9a 2 vref1_2 t pr9a 2 vref1_2 t vccio vccio2 2 vccio2 2 h30 xres 1 xres 1 b33 urc_sq_vccrx0 12 urc_sq_vccrx0 12 c33 urc_sq_hdinp0 12 t urc_sq_hdinp0 12 t b34 urc_sq_vccib0 12 urc_sq_vccib0 12 c32 urc_sq_hdinn0 12 c urc_sq_hdinn0 12 c b32 urc_sq_vcctx0 12 urc_sq_vcctx0 12 a33 urc_sq_hdoutp0 12 t urc_sq_hdoutp0 12 t c34 urc_sq_vccob0 12 urc_sq_vccob0 12 a32 urc_sq_hdoutn0 12 c urc_sq_hdoutn0 12 c b31 urc_sq_vcctx1 12 urc_sq_vcctx1 12 a31 urc_sq_hdoutn1 12 c urc_sq_hdoutn1 12 c lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-244 pinout information lattice semiconductor latticeecp2/m family data sheet d32 urc_sq_vccob1 12 urc_sq_vccob1 12 a30 urc_sq_hdoutp1 12 t urc_sq_hdoutp1 12 t b30 urc_sq_vccrx1 12 urc_sq_vccrx1 12 c31 urc_sq_hdinn1 12 c urc_sq_hdinn1 12 c d31 urc_sq_vccib1 12 urc_sq_vccib1 12 c30 urc_sq_hdinp1 12 t urc_sq_hdinp1 12 t e29 urc_sq_vccaux33 12 urc_sq_vccaux33 12 e30 urc_sq_refclkn 12 c urc_sq_refclkn 12 c d30 urc_sq_refclkp 12 t urc_sq_refclkp 12 t d29 urc_sq_vccp 12 urc_sq_vccp 12 c29 urc_sq_hdinp2 12 t urc_sq_hdinp2 12 t d27 urc_sq_vccib2 12 urc_sq_vccib2 12 c28 urc_sq_hdinn2 12 c urc_sq_hdinn2 12 c b29 urc_sq_vccrx2 12 urc_sq_vccrx2 12 a29 urc_sq_hdoutp2 12 t urc_sq_hdoutp2 12 t e28 urc_sq_vccob2 12 urc_sq_vccob2 12 a28 urc_sq_hdoutn2 12 c urc_sq_hdoutn2 12 c b28 urc_sq_vcctx2 12 urc_sq_vcctx2 12 a27 urc_sq_hdoutn3 12 c urc_sq_hdoutn3 12 c d26 urc_sq_vccob3 12 urc_sq_vccob3 12 a26 urc_sq_hdoutp3 12 t urc_sq_hdoutp3 12 t b27 urc_sq_vcctx3 12 urc_sq_vcctx3 12 c27 urc_sq_hdinn3 12 c urc_sq_hdinn3 12 c b26 urc_sq_vccib3 12 urc_sq_vccib3 12 c26 urc_sq_hdinp3 12 t urc_sq_hdinp3 12 t d28 urc_sq_vccrx3 12 urc_sq_vccrx3 12 e23 pt82b 1 c pt100b 1 c gndio gndio1 - gndio1 - f23 pt82a 1 t pt100a 1 t f24 nc - pt99b 1 c g23 nc - pt99a 1 t d23 pt80b 1 c pt98b 1 c vccio vccio1 1 vccio1 1 d22 pt80a 1 t pt98a 1 t - - - gndio1 - - - - vccio1 1 c21 pt79b 1 c pt88b 1 c d21 pt79a 1 t pt88a 1 t gndio gndio1 - gndio1 - b21 pt77b 1 c pt86b 1 c a21 pt77a 1 t pt86a 1 t f22 pt76b 1 c pt85b 1 c e22 pt76a 1 t pt85a 1 t vccio vccio1 1 vccio1 1 gndio gndio1 - - - j22 nc - pt84b 1 c g22 nc - pt84a 1 t - - - gndio1 - h22 pt72b 1 c pt81b 1 c k22 pt72a 1 t pt81a 1 t g21 pt71b 1 c pt80b 1 c lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-245 pinout information lattice semiconductor latticeecp2/m family data sheet vccio vccio1 1 vccio1 1 j21 pt71a 1 t pt80a 1 t h21 nc - pt79b 1 c k21 nc - pt79a 1 t d20 pt69b 1 c pt78b 1 c f20 pt69a 1 t pt78a 1 t c20 pt68b 1 c pt77b 1 c gndio gndio1 - gndio1 - e20 pt68a 1 t pt77a 1 t g20 pt67b 1 c pt76b 1 c vccio vccio1 1 vccio1 1 j20 pt67a 1 t pt76a 1 t a20 pt66b 1 c pt75b 1 c b20 pt66a 1 t pt75a 1 t gndio gndio1 - gndio1 - a19 pt63b 1 c pt72b 1 c b19 pt63a 1 t pt72a 1 t k20 pt62b 1 c pt71b 1 c h20 pt62a 1 t pt71a 1 t vccio vccio1 1 vccio1 1 l19 nc - pt70b 1 c l20 nc - pt70a 1 t e19 pt60b 1 c pt69b 1 c c18 pt60a 1 t pt69a 1 t gndio gndio1 - gndio1 - f19 pt59b 1 c pt68b 1 c d18 pt59a 1 t pt68a 1 t l18 nc - pt67b 1 c k19 nc - pt67a 1 t vccio vccio1 1 vccio1 1 a18 pt57b 1 vref2_1 c pt66b 1 vref2_1 c b18 pt57a 1 vref1_1 t pt66a 1 vref1_1 t g18 pt56b 1 pclkc1_0 c pt65b 1 pclkc1_0 c e18 pt56a 1 pclkt1_0 t pt65a 1 pclkt1_0 t f18 pt55b 0 pclkc0_0 c pt64b 0 pclkc0_0 c gndio gndio0 - gndio0 - g19 pt55a 0 pclkt0_0 t pt64a 0 pclkt0_0 t h18 pt54b 0 vref2_0 c pt63b 0 vref2_0 c k18 pt54a 0 vref1_0 t pt63a 0 vref1_0 t vccio vccio0 0 vccio0 0 j18 pt53b 0 c pt60b 0 c l17 pt53a 0 t pt60a 0 t g17 pt52b 0 c pt59b 0 c - - - gndio0 - j17 pt52a 0 t pt59a 0 t h17 pt51b 0 c pt58b 0 c - - - vccio0 0 k17 pt51a 0 t pt58a 0 t b17 pt50b 0 c pt57b 0 c gndio gndio0 - - - a17 pt50a 0 t pt57a 0 t lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-246 pinout information lattice semiconductor latticeecp2/m family data sheet d17 pt49b 0 c pt56b 0 c vccio vccio0 0 - - f17 pt49a 0 t pt56a 0 t b16 pt48b 0 c pt55b 0 c a16 pt48a 0 t pt55a 0 t - - - gndio0 - - - - vccio0 0 e17 pt47b 0 c pt52b 0 c c17 pt47a 0 t pt52a 0 t k16 pt46b 0 c pt51b 0 c j15 pt46a 0 t pt51a 0 t gndio gndio0 - gndio0 - g16 pt45b 0 c pt50b 0 c h15 pt45a 0 t pt50a 0 t a15 pt44b 0 c pt49b 0 c b15 pt44a 0 t pt49a 0 t vccio vccio0 0 vccio0 0 l16 pt43b 0 c pt48b 0 c k15 pt43a 0 t pt48a 0 t f16 pt42b 0 c pt47b 0 c e16 pt42a 0 t pt47a 0 t e15 pt41b 0 c pt46b 0 c gndio gndio0 - gndio0 - g15 pt41a 0 t pt46a 0 t j14 nc - pt45b 0 c l15 nc - pt45a 0 t h14 nc - pt44b 0 c vccio vccio0 0 vccio0 0 k14 nc - pt44a 0 t f15 pt38b 0 c pt42b 0 c g14 pt38a 0 t pt42a 0 t c15 pt37b 0 c pt41b 0 c gndio gndio0 - gndio0 - d14 pt37a 0 t pt41a 0 t g13 pt36b 0 c pt40b 0 c - - - vccio0 0 j13 pt36a 0 t pt40a 0 t b14 pt35b 0 c pt39b 0 c vccio vccio0 0 - - a14 pt35a 0 t pt39a 0 t f13 pt34b 0 c pt38b 0 c h13 pt34a 0 t pt38a 0 t d13 pt33b 0 c pt37b 0 c c14 pt33a 0 t pt37a 0 t gndio gndio0 - gndio0 - e13 pt32b 0 c pt32b 0 c d12 pt32a 0 t pt32a 0 t g12 pt31b 0 c pt31b 0 c e12 pt31a 0 t pt31a 0 t vccio vccio0 0 vccio0 0 f12 nc - pt30b 0 c lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-247 pinout information lattice semiconductor latticeecp2/m family data sheet d11 nc - pt30a 0 t f11 nc - pt29b 0 c e11 nc - pt29a 0 t d7 ulc_sq_vccrx0 11 ulc_sq_vccrx0 11 c9 ulc_sq_hdinp0 11 t ulc_sq_hdinp0 11 t b9 ulc_sq_vccib0 11 ulc_sq_vccib0 11 c8 ulc_sq_hdinn0 11 c ulc_sq_hdinn0 11 c b8 ulc_sq_vcctx0 11 ulc_sq_vcctx0 11 a9 ulc_sq_hdoutp0 11 t ulc_sq_hdoutp0 11 t d9 ulc_sq_vccob0 11 ulc_sq_vccob0 11 a8 ulc_sq_hdoutn0 11 c ulc_sq_hdoutn0 11 c b7 ulc_sq_vcctx1 11 ulc_sq_vcctx1 11 a7 ulc_sq_hdoutn1 11 c ulc_sq_hdoutn1 11 c e7 ulc_sq_vccob1 11 ulc_sq_vccob1 11 a6 ulc_sq_hdoutp1 11 t ulc_sq_hdoutp1 11 t b6 ulc_sq_vccrx1 11 ulc_sq_vccrx1 11 c7 ulc_sq_hdinn1 11 c ulc_sq_hdinn1 11 c d8 ulc_sq_vccib1 11 ulc_sq_vccib1 11 c6 ulc_sq_hdinp1 11 t ulc_sq_hdinp1 11 t e6 ulc_sq_vccaux33 11 ulc_sq_vccaux33 11 e5 ulc_sq_refclkn 11 c ulc_sq_refclkn 11 c d5 ulc_sq_refclkp 11 t ulc_sq_refclkp 11 t d6 ulc_sq_vccp 11 ulc_sq_vccp 11 c5 ulc_sq_hdinp2 11 t ulc_sq_hdinp2 11 t d4 ulc_sq_vccib2 11 ulc_sq_vccib2 11 c4 ulc_sq_hdinn2 11 c ulc_sq_hdinn2 11 c b5 ulc_sq_vccrx2 11 ulc_sq_vccrx2 11 a5 ulc_sq_hdoutp2 11 t ulc_sq_hdoutp2 11 t d3 ulc_sq_vccob2 11 ulc_sq_vccob2 11 a4 ulc_sq_hdoutn2 11 c ulc_sq_hdoutn2 11 c b4 ulc_sq_vcctx2 11 ulc_sq_vcctx2 11 a3 ulc_sq_hdoutn3 11 c ulc_sq_hdoutn3 11 c c1 ulc_sq_vccob3 11 ulc_sq_vccob3 11 a2 ulc_sq_hdoutp3 11 t ulc_sq_hdoutp3 11 t b3 ulc_sq_vcctx3 11 ulc_sq_vcctx3 11 c3 ulc_sq_hdinn3 11 c ulc_sq_hdinn3 11 c b1 ulc_sq_vccib3 11 ulc_sq_vccib3 11 c2 ulc_sq_hdinp3 11 t ulc_sq_hdinp3 11 t b2 ulc_sq_vccrx3 11 ulc_sq_vccrx3 11 aa13 vcc - vcc - aa14 vcc - vcc - aa15 vcc - vcc - aa16 vcc - vcc - aa17 vcc - vcc - aa18 vcc - vcc - aa19 vcc - vcc - aa20 vcc - vcc - aa21 vcc - vcc - aa22 vcc - vcc - ab14 vcc - vcc - ab15 vcc - vcc - lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-248 pinout information lattice semiconductor latticeecp2/m family data sheet ab20 vcc - vcc - ab21 vcc - vcc - n14 vcc - vcc - n15 vcc - vcc - n20 vcc - vcc - n21 vcc - vcc - p13 vcc - vcc - p14 vcc - vcc - p15 vcc - vcc - p16 vcc - vcc - p17 vcc - vcc - p18 vcc - vcc - p19 vcc - vcc - p20 vcc - vcc - p21 vcc - vcc - p22 vcc - vcc - r13 vcc - vcc - r14 vcc - vcc - r21 vcc - vcc - r22 vcc - vcc - t14 vcc - vcc - t21 vcc - vcc - u14 vcc - vcc - u21 vcc - vcc - v14 vcc - vcc - v21 vcc - vcc - w 14 vcc - vcc - w 21 vcc - vcc - y13 vcc - vcc - y14 vcc - vcc - y21 vcc - vcc - y22 vcc - vcc - c12 vccio0 0 vccio0 0 c16 vccio0 0 vccio0 0 e14 vccio0 0 vccio0 0 h12 vccio0 0 vccio0 0 h16 vccio0 0 vccio0 0 m14 vccio0 0 vccio0 0 m15 vccio0 0 vccio0 0 c19 vccio1 1 vccio1 1 c23 vccio1 1 vccio1 1 e21 vccio1 1 vccio1 1 h19 vccio1 1 vccio1 1 h23 vccio1 1 vccio1 1 m20 vccio1 1 vccio1 1 m21 vccio1 1 vccio1 1 g32 vccio2 2 vccio2 2 k28 vccio2 2 vccio2 2 k32 vccio2 2 vccio2 2 n27 vccio2 2 vccio2 2 n32 vccio2 2 vccio2 2 lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-249 pinout information lattice semiconductor latticeecp2/m family data sheet p23 vccio2 2 vccio2 2 r23 vccio2 2 vccio2 2 t27 vccio2 2 vccio2 2 t32 vccio2 2 vccio2 2 aa23 vccio3 3 vccio3 3 ab27 vccio3 3 vccio3 3 ab32 vccio3 3 vccio3 3 ae28 vccio3 3 vccio3 3 ae32 vccio3 3 vccio3 3 ah32 vccio3 3 vccio3 3 w 27 vccio3 3 vccio3 3 w 32 vccio3 3 vccio3 3 y23 vccio3 3 vccio3 3 ac20 vccio4 4 vccio4 4 ac21 vccio4 4 vccio4 4 ag19 vccio4 4 vccio4 4 ag23 vccio4 4 vccio4 4 ak21 vccio4 4 vccio4 4 am19 vccio4 4 vccio4 4 am23 vccio4 4 vccio4 4 ac14 vccio5 5 vccio5 5 ac15 vccio5 5 vccio5 5 ag12 vccio5 5 vccio5 5 ag16 vccio5 5 vccio5 5 ak14 vccio5 5 vccio5 5 am12 vccio5 5 vccio5 5 am16 vccio5 5 vccio5 5 aa12 vccio6 6 vccio6 6 ab3 vccio6 6 vccio6 6 ab8 vccio6 6 vccio6 6 ae3 vccio6 6 vccio6 6 ae7 vccio6 6 vccio6 6 ah3 vccio6 6 vccio6 6 w 3 vccio6 6 vccio6 6 w 8 vccio6 6 vccio6 6 y12 vccio6 6 vccio6 6 g3 vccio7 7 vccio7 7 k3 vccio7 7 vccio7 7 k7 vccio7 7 vccio7 7 n3 vccio7 7 vccio7 7 n8 vccio7 7 vccio7 7 p12 vccio7 7 vccio7 7 r12 vccio7 7 vccio7 7 t3 vccio7 7 vccio7 7 t8 vccio7 7 vccio7 7 ad28 vccio8 8 vccio8 8 ag32 vccio8 8 vccio8 8 ab12 vccaux - vccaux - ab13 vccaux - vccaux - ab22 vccaux - vccaux - ab23 vccaux - vccaux - lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-250 pinout information lattice semiconductor latticeecp2/m family data sheet ac13 vccaux - vccaux - ac22 vccaux - vccaux - m13 vccaux - vccaux - m22 vccaux - vccaux - n12 vccaux - vccaux - n13 vccaux - vccaux - n22 vccaux - vccaux - n23 vccaux - vccaux - a1 gnd - gnd - a10 gnd - gnd - a13 gnd - gnd - a22 gnd - gnd - a25 gnd - gnd - a34 gnd - gnd - ab16 gnd - gnd - ab17 gnd - gnd - ab18 gnd - gnd - ab19 gnd - gnd - ab26 gnd - gnd - ab31 gnd - gnd - ab4 gnd - gnd - ab9 gnd - gnd - ac16 gnd - gnd - ac17 gnd - gnd - ac18 gnd - gnd - ac19 gnd - gnd - ad27 gnd - gnd - ae27 gnd - gnd - ae31 gnd - gnd - ae4 gnd - gnd - ae8 gnd - gnd - af12 gnd - gnd - af16 gnd - gnd - af19 gnd - gnd - af23 gnd - gnd - ag31 gnd - gnd - ah31 gnd - gnd - ah4 gnd - gnd - aj14 gnd - gnd - aj21 gnd - gnd - ak27 gnd - gnd - ak8 gnd - gnd - al10 gnd - gnd - al16 gnd - gnd - al19 gnd - gnd - al2 gnd - gnd - al25 gnd - gnd - al33 gnd - gnd - ap1 gnd - gnd - ap10 gnd - gnd - ap13 gnd - gnd - lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-251 pinout information lattice semiconductor latticeecp2/m family data sheet ap22 gnd - gnd - ap25 gnd - gnd - ap34 gnd - gnd - d10 gnd - gnd - d16 gnd - gnd - d19 gnd - gnd - d2 gnd - gnd - d25 gnd - gnd - d33 gnd - gnd - e27 gnd - gnd - e8 gnd - gnd - f14 gnd - gnd - f21 gnd - gnd - g31 gnd - gnd - g4 gnd - gnd - j12 gnd - gnd - j16 gnd - gnd - j19 gnd - gnd - j23 gnd - gnd - k27 gnd - gnd - k31 gnd - gnd - k4 gnd - gnd - k8 gnd - gnd - m16 gnd - gnd - m17 gnd - gnd - m18 gnd - gnd - m19 gnd - gnd - n16 gnd - gnd - n17 gnd - gnd - n18 gnd - gnd - n19 gnd - gnd - n26 gnd - gnd - n31 gnd - gnd - n4 gnd - gnd - n9 gnd - gnd - r16 gnd - gnd - r17 gnd - gnd - r18 gnd - gnd - r19 gnd - gnd - t12 gnd - gnd - t13 gnd - gnd - t15 gnd - gnd - t16 gnd - gnd - t17 gnd - gnd - t18 gnd - gnd - t19 gnd - gnd - t20 gnd - gnd - t22 gnd - gnd - t23 gnd - gnd - t26 gnd - gnd - t31 gnd - gnd - lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-252 pinout information lattice semiconductor latticeecp2/m family data sheet t4 gnd - gnd - t9 gnd - gnd - u12 gnd - gnd - u13 gnd - gnd - u15 gnd - gnd - u16 gnd - gnd - u17 gnd - gnd - u18 gnd - gnd - u19 gnd - gnd - u20 gnd - gnd - u22 gnd - gnd - u23 gnd - gnd - v12 gnd - gnd - v13 gnd - gnd - v15 gnd - gnd - v16 gnd - gnd - v17 gnd - gnd - v18 gnd - gnd - v19 gnd - gnd - v20 gnd - gnd - v22 gnd - gnd - v23 gnd - gnd - w 12 gnd - gnd - w 13 gnd - gnd - w 15 gnd - gnd - w 16 gnd - gnd - w 17 gnd - gnd - w 18 gnd - gnd - w 19 gnd - gnd - w 20 gnd - gnd - w 22 gnd - gnd - w 23 gnd - gnd - w 26 gnd - gnd - w 31 gnd - gnd - w 4 gnd - gnd - w 9 gnd - gnd - y16 gnd - gnd - y17 gnd - gnd - y18 gnd - gnd - y19 gnd - gnd - a11 nc - nc - a12 nc - nc - a23 nc - nc - a24 nc - nc - aa11 nc - nc - ab11 nc - nc - ac26 nc - nc - ac30 nc - nc - ad11 nc - nc - ad12 nc - nc - ad13 nc - nc - lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-253 pinout information lattice semiconductor latticeecp2/m family data sheet ad14 nc - nc - ad15 nc - nc - ad19 nc - nc - ad21 nc - nc - ad22 nc - nc - ad23 nc - nc - ae10 nc - nc - ae11 nc - nc - ae12 nc - nc - ae13 nc - nc - ae19 nc - nc - ae21 nc - nc - ae22 nc - nc - ae23 nc - nc - af11 nc - nc - af21 nc - nc - af22 nc - nc - af24 nc - nc - af8 nc - nc - af9 nc - nc - ag10 nc - nc - ag11 nc - nc - ag24 nc - nc - ag25 nc - nc - ag26 nc - nc - ag3 nc - nc - ag7 nc - nc - ag8 nc - nc - ag9 nc - nc - ah10 nc - nc - ah11 nc - nc - ah13 nc - nc - ah24 nc - nc - ah25 nc - nc - ah26 nc - nc - ah27 nc - nc - ah5 nc - nc - ah6 nc - nc - ah7 nc - nc - ah8 nc - nc - ah9 nc - nc - aj10 nc - nc - aj11 nc - nc - aj13 nc - nc - aj24 nc - nc - aj25 nc - nc - aj26 nc - nc - aj27 nc - nc - aj3 nc - nc - aj4 nc - nc - aj5 nc - nc - lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-254 pinout information lattice semiconductor latticeecp2/m family data sheet aj6 nc - nc - aj7 nc - nc - aj8 nc - nc - aj9 nc - nc - ak10 nc - nc - ak11 nc - nc - ak12 nc - nc - ak24 nc - nc - ak25 nc - nc - ak26 nc - nc - ak4 nc - nc - ak9 nc - nc - al11 nc - nc - al12 nc - nc - al34 nc - nc - am10 nc - nc - am11 nc - nc - am13 nc - nc - am25 nc - nc - an10 nc - nc - an11 nc - nc - an12 nc - nc - an13 nc - nc - an24 nc - nc - an25 nc - nc - ap11 nc - nc - ap12 nc - nc - ap24 nc - nc - b10 nc - nc - b11 nc - nc - b12 nc - nc - b13 nc - nc - b22 nc - nc - b23 nc - nc - b24 nc - nc - b25 nc - nc - c10 nc - nc - c11 nc - nc - c13 nc - nc - c22 nc - nc - c24 nc - nc - c25 nc - nc - d1 nc - nc - d15 nc - nc - d24 nc - nc - d34 nc - nc - e10 nc - nc - e24 nc - nc - e25 nc - nc - e26 nc - nc - e3 nc - nc - lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-255 pinout information lattice semiconductor latticeecp2/m family data sheet e31 nc - nc - e32 nc - nc - e33 nc - nc - e34 nc - nc - e4 nc - nc - e9 nc - nc - f10 nc - nc - f25 nc - nc - f26 nc - nc - f27 nc - nc - f28 nc - nc - f29 nc - nc - f30 nc - nc - f31 nc - nc - f32 nc - nc - f33 nc - nc - f34 nc - nc - f5 nc - nc - f6 nc - nc - f7 nc - nc - f8 nc - nc - f9 nc - nc - g10 nc - nc - g11 nc - nc - g24 nc - nc - g25 nc - nc - g26 nc - nc - g27 nc - nc - g28 nc - nc - g29 nc - nc - g30 nc - nc - g33 nc - nc - g34 nc - nc - g7 nc - nc - g8 nc - nc - g9 nc - nc - h10 nc - nc - h11 nc - nc - h24 nc - nc - h25 nc - nc - h26 nc - nc - h27 nc - nc - h28 nc - nc - h29 nc - nc - h8 nc - nc - h9 nc - nc - j10 nc - nc - j11 nc - nc - j24 nc - nc - j25 nc - nc - j26 nc - nc - lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
4-256 pinout information lattice semiconductor latticeecp2/m family data sheet j9 nc - nc - k10 nc - nc - k11 nc - nc - k12 nc - nc - k13 nc - nc - k23 nc - nc - k24 nc - nc - k25 nc - nc - k26 nc - nc - l11 nc - nc - l12 nc - nc - l13 nc - nc - l14 nc - nc - l21 nc - nc - l22 nc - nc - l23 nc - nc - l24 nc - nc - l25 nc - nc - l26 nc - nc - m11 nc - nc - m24 nc - nc - m25 nc - nc - m6 nc - nc - m8 nc - nc - n10 nc - nc - n11 nc - nc - p10 nc - nc - p25 nc - nc - p26 nc - nc - r9 nc - nc - t11 nc - nc - u11 nc - nc - w 11 nc - nc - y10 nc - nc - y11 nc - nc - r15 vccpll - vccpll - r20 vccpll - vccpll - y15 vccpll - vccpll - y20 vccpll - vccpll - * supports true lvds. other differential signals must be emulated with external resistors. ** these dedicated input pins can be used for gplls or gdlls within the respective quadrant. *** for density migration, board design must take into account that these sysconfig pins are dual function for the lower densit y devices (ecp2m20 and ecp2m35) and are dedicated pins for the higher density devices (ecp2m50, ecp2m70, and ecp2m100). ****due to packaging bond out option, this dqs does not have all the necessary dq pins bonded out for a full 8-bit data width. note: vccio and gnd pads are used to determine the average dc current drawn by i/os between gnd/vccio connections, or between t he last gnd/vccio in an i/o bank and the end of an i/o bank. the substrate pads listed in the pin table do not necessarily have a one to one connection with a package ball or pin. lfe2m70e/se and lfe2m100e/se logic signal connections: 1152 fpbga lfe2m70e/se lfe2m100e/se ball number ball/pad function bank dual function differential ball/pad function bank dual function differential
www.latticesemi.com 5-1 ds1006 order info_01.5 august 2007 data sheet ds1006 ?2007 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the speci?ations and information herein are subject to change without notice. latticeecp2 part number description ordering information note: latticeecp2 devices are dual marked. for example, the commercial speed grade lfe2-50e-7f672c is also marked with industrial grade -6i (lfe2-50e-6f672i). the commercial grade is one speed grade faster than the associated dual mark industrial grade. the slowest commercial speed grade does not have industrial markings. the markings appear as follows: lfe2 ?xx xe ?x xxxxxx x grade c = commercial i = industrial logic capacity 6 = 6k luts 12 = 12k luts 20 = 20k luts 35 = 35k luts 50 = 50k luts 70 = 70k luts supply voltage e = 1.2v encryption s = security series (encryption feature) blank = standard series (no encryption) speed 5 = slowest 6 7 = fastest package t144 = 144-pin tqfp q208 = 208-pin pqfp f256 = 256-ball fpbga f484 = 484-ball fpbga f672 = 672-ball fpbga f900 = 900-ball fpbga tn144 = 144-pin lead-free tqfp qn208 = 208-pin lead-free pqfp fn256 = 256-ball lead-free fpbga fn484 = 484-ball lead-free fpbga fn672 = 672-ball lead-free fpbga fn900 = 900-ball lead-free fpbga device family ecp2 (latticeecp2 fpga) lfe2-50e 7f672c-6i datecode lfe2-50se 7f672c-6i datecode latticeecp2/m family data sheet ordering information
5-2 ordering information lattice semiconductor latticeecp2/m family data sheet latticeecp2 standard series devices, conventional packaging commercial part number i/os voltage grade package pins temp. luts (k) lfe2-6e-5t144c 90 1.2v -5 tqfp 144 com 6 lfe2-6e-6t144c 90 1.2v -6 tqfp 144 com 6 lfe2-6e-7t144c 90 1.2v -7 tqfp 144 com 6 lfe2-6e-5f256c 190 1.2v -5 fpbga 256 com 6 lfe2-6e-6f256c 190 1.2v -6 fpbga 256 com 6 lfe2-6e-7f256c 190 1.2v -7 fpbga 256 com 6 part number i/os voltage grade package pins temp. luts (k) lfe2-12e-5t144c 93 1.2v -5 tqfp 144 com 12 lfe2-12e-6t144c 93 1.2v -6 tqfp 144 com 12 lfe2-12e-7t144c 93 1.2v -7 tqfp 144 com 12 lfe2-12e-5q208c 131 1.2v -5 pqfp 208 com 12 lfe2-12e-6q208c 131 1.2v -6 pqfp 208 com 12 lfe2-12e-7q208c 131 1.2v -7 pqfp 208 com 12 lfe2-12e-5f256c 193 1.2v -5 fpbga 256 com 12 lfe2-12e-6f256c 193 1.2v -6 fpbga 256 com 12 lfe2-12e-7f256c 193 1.2v -7 fpbga 256 com 12 lfe2-12e-5f484c 297 1.2v -5 fpbga 484 com 12 lfe2-12e-6f484c 297 1.2v -6 fpbga 484 com 12 lfe2-12e-7f484c 297 1.2v -7 fpbga 484 com 12 part number i/os voltage grade package pins temp. luts (k) lfe2-20e-5q208c 131 1.2v -5 pqfp 208 com 20 lfe2-20e-6q208c 131 1.2v -6 pqfp 208 com 20 lfe2-20e-7q208c 131 1.2v -7 pqfp 208 com 20 lfe2-20e-5f256c 193 1.2v -5 fpbga 256 com 20 lfe2-20e-6f256c 193 1.2v -6 fpbga 256 com 20 lfe2-20e-7f256c 193 1.2v -7 fpbga 256 com 20 lfe2-20e-5f484c 331 1.2v -5 fpbga 484 com 20 lfe2-20e-6f484c 331 1.2v -6 fpbga 484 com 20 lfe2-20e-7f484c 331 1.2v -7 fpbga 484 com 20 lfe2-20e-5f672c 402 1.2v -5 fpbga 672 com 20 lfe2-20e-6f672c 402 1.2v -6 fpbga 672 com 20 lfe2-20e-7f672c 402 1.2v -7 fpbga 672 com 20
5-3 ordering information lattice semiconductor latticeecp2/m family data sheet industrial part number i/os voltage grade package pins temp. luts (k) lfe2-35e-5f484c 331 1.2v -5 fpbga 484 com 35 lfe2-35e-6f484c 331 1.2v -6 fpbga 484 com 35 lfe2-35e-7f484c 331 1.2v -7 fpbga 484 com 35 lfe2-35e-5f672c 450 1.2v -5 fpbga 672 com 35 lfe2-35e-6f672c 450 1.2v -6 fpbga 672 com 35 lfe2-35e-7f672c 450 1.2v -7 fpbga 672 com 35 part number i/os voltage grade package pins temp. luts (k) lfe2-50e-5f484c 339 1.2v -5 fpbga 484 com 50 lfe2-50e-6f484c 339 1.2v -6 fpbga 484 com 50 lfe2-50e-7f484c 339 1.2v -7 fpbga 484 com 50 lfe2-50e-5f672c 500 1.2v -5 fpbga 672 com 50 lfe2-50e-6f672c 500 1.2v -6 fpbga 672 com 50 lfe2-50e-7f672c 500 1.2v -7 fpbga 672 com 50 part number i/os voltage grade package pins temp. luts (k) lfe2-70e-5f672c 500 1.2v -5 fpbga 672 com 70 lfe2-70e-6f672c 500 1.2v -6 fpbga 672 com 70 lfe2-70e-7f672c 500 1.2v -7 fpbga 672 com 70 lfe2-70e-5f900c 583 1.2v -5 fpbga 900 com 70 lfe2-70e-6f900c 583 1.2v -6 fpbga 900 com 70 lfe2-70e-7f900c 583 1.2v -7 fpbga 900 com 70 part number i/os voltage grade package pins temp. luts (k) lfe2-6e-5t144i 90 1.2v -5 tqfp 144 ind 6 lfe2-6e-6t144i 90 1.2v -6 tqfp 144 ind 6 lfe2-6e-5f256i 190 1.2v -5 fpbga 256 ind 6 lfe2-6e-6f256i 190 1.2v -6 fpbga 256 ind 6 part number i/os voltage grade package pins temp. luts (k) lfe2-12e-5t144i 93 1.2v -5 tqfp 144 ind 12 lfe2-12e-6t144i 93 1.2v -6 tqfp 144 ind 12 lfe2-12e-5q208i 131 1.2v -5 pqfp 208 ind 12 lfe2-12e-6q208i 131 1.2v -6 pqfp 208 ind 12 lfe2-12e-5f256i 193 1.2v -5 fpbga 256 ind 12 lfe2-12e-6f256i 193 1.2v -6 fpbga 256 ind 12 lfe2-12e-5f484i 297 1.2v -5 fpbga 484 ind 12 lfe2-12e-6f484i 297 1.2v -6 fpbga 484 ind 12
5-4 ordering information lattice semiconductor latticeecp2/m family data sheet part number i/os voltage grade package pins temp. luts (k) lfe2-20e-5q208i 131 1.2v -5 pqfp 208 ind 20 lfe2-20e-6q208i 131 1.2v -6 pqfp 208 ind 20 lfe2-20e-5f256i 193 1.2v -5 fpbga 256 ind 20 lfe2-20e-6f256i 193 1.2v -6 fpbga 256 ind 20 lfe2-20e-5f484i 331 1.2v -5 fpbga 484 ind 20 lfe2-20e-6f484i 331 1.2v -6 fpbga 484 ind 20 lfe2-20e-5f672i 402 1.2v -5 fpbga 672 ind 20 lfe2-20e-6f672i 402 1.2v -6 fpbga 672 ind 20 part number i/os voltage grade package pins temp. luts (k) lfe2-35e-5f484i 331 1.2v -5 fpbga 484 ind 35 lfe2-35e-6f484i 331 1.2v -6 fpbga 484 ind 35 lfe2-35e-5f672i 450 1.2v -5 fpbga 672 ind 35 lfe2-35e-6f672i 450 1.2v -6 fpbga 672 ind 35 part number i/os voltage grade package pins temp. luts (k) lfe2-50e-5f484i 339 1.2v -5 fpbga 484 ind 50 lfe2-50e-6f484i 339 1.2v -6 fpbga 484 ind 50 lfe2-50e-5f672i 500 1.2v -5 fpbga 672 ind 50 lfe2-50e-6f672i 500 1.2v -6 fpbga 672 ind 50 part number i/os voltage grade package pins temp. luts (k) lfe2-70e-5f672i 500 1.2v -5 fpbga 672 ind 70 lfe2-70e-6f672i 500 1.2v -6 fpbga 672 ind 70 lfe2-70e-5f900i 583 1.2v -5 fpbga 900 ind 70 lfe2-70e-6f900i 583 1.2v -6 fpbga 900 ind 70
5-5 ordering information lattice semiconductor latticeecp2/m family data sheet latticeecp2 standard series devices, lead-free packaging commercial part number i/os voltage grade package pins temp. luts (k) lfe2-6e-5tn144c 90 1.2v -5 lead-free tqfp 144 com 6 lfe2-6e-6tn144c 90 1.2v -6 lead-free tqfp 144 com 6 lfe2-6e-7tn144c 90 1.2v -7 lead-free tqfp 144 com 6 lfe2-6e-5fn256c 190 1.2v -5 lead-free fpbga 256 com 6 lfe2-6e-6fn256c 190 1.2v -6 lead-free fpbga 256 com 6 lfe2-6e-7fn256c 190 1.2v -7 lead-free fpbga 256 com 6 part number i/os voltage grade package pins temp. luts (k) lfe2-12e-5tn144c 93 1.2v -5 lead-free tqfp 144 com 12 lfe2-12e-6tn144c 93 1.2v -6 lead-free tqfp 144 com 12 lfe2-12e-7tn144c 93 1.2v -7 lead-free tqfp 144 com 12 lfe2-12e-5qn208c 131 1.2v -5 lead-free pqfp 208 com 12 lfe2-12e-6qn208c 131 1.2v -6 lead-free pqfp 208 com 12 lfe2-12e-7qn208c 131 1.2v -7 lead-free pqfp 208 com 12 lfe2-12e-5fn256c 193 1.2v -5 lead-free fpbga 256 com 12 lfe2-12e-6fn256c 193 1.2v -6 lead-free fpbga 256 com 12 lfe2-12e-7fn256c 193 1.2v -7 lead-free fpbga 256 com 12 lfe2-12e-5fn484c 297 1.2v -5 lead-free fpbga 484 com 12 lfe2-12e-6fn484c 297 1.2v -6 lead-free fpbga 484 com 12 lfe2-12e-7fn484c 297 1.2v -7 lead-free fpbga 484 com 12 part number i/os voltage grade package pins temp. luts (k) lfe2-20e-5qn208c 131 1.2v -5 lead-free pqfp 208 com 20 lfe2-20e-6qn208c 131 1.2v -6 lead-free pqfp 208 com 20 lfe2-20e-7qn208c 131 1.2v -7 lead-free pqfp 208 com 20 lfe2-20e-5fn256c 193 1.2v -5 lead-free fpbga 256 com 20 lfe2-20e-6fn256c 193 1.2v -6 lead-free fpbga 256 com 20 lfe2-20e-7fn256c 193 1.2v -7 lead-free fpbga 256 com 20 lfe2-20e-5fn484c 331 1.2v -5 lead-free fpbga 484 com 20 lfe2-20e-6fn484c 331 1.2v -6 lead-free fpbga 484 com 20 lfe2-20e-7fn484c 331 1.2v -7 lead-free fpbga 484 com 20 lfe2-20e-5fn672c 402 1.2v -5 lead-free fpbga 672 com 20 lfe2-20e-6fn672c 402 1.2v -6 lead-free fpbga 672 com 20 lfe2-20e-7fn672c 402 1.2v -7 lead-free fpbga 672 com 20
5-6 ordering information lattice semiconductor latticeecp2/m family data sheet industrial part number i/os voltage grade package pins temp. luts (k) lfe2-35e-5fn484c 331 1.2v -5 lead-free fpbga 484 com 35 lfe2-35e-6fn484c 331 1.2v -6 lead-free fpbga 484 com 35 lfe2-35e-7fn484c 331 1.2v -7 lead-free fpbga 484 com 35 lfe2-35e-5fn672c 450 1.2v -5 lead-free fpbga 672 com 35 lfe2-35e-6fn672c 450 1.2v -6 lead-free fpbga 672 com 35 lfe2-35e-7fn672c 450 1.2v -7 lead-free fpbga 672 com 35 part number i/os voltage grade package pins temp. luts (k) lfe2-50e-5fn484c 339 1.2v -5 lead-free fpbga 484 com 50 lfe2-50e-6fn484c 339 1.2v -6 lead-free fpbga 484 com 50 lfe2-50e-7fn484c 339 1.2v -7 lead-free fpbga 484 com 50 lfe2-50e-5fn672c 500 1.2v -5 lead-free fpbga 672 com 50 lfe2-50e-6fn672c 500 1.2v -6 lead-free fpbga 672 com 50 lfe2-50e-7fn672c 500 1.2v -7 lead-free fpbga 672 com 50 part number i/os voltage grade package pins temp. luts (k) lfe2-70e-5fn672c 500 1.2v -5 lead-free fpbga 672 com 70 lfe2-70e-6fn672c 500 1.2v -6 lead-free fpbga 672 com 70 lfe2-70e-7fn672c 500 1.2v -7 lead-free fpbga 672 com 70 lfe2-70e-5fn900c 583 1.2v -5 lead-free fpbga 900 com 70 lfe2-70e-6fn900c 583 1.2v -6 lead-free fpbga 900 com 70 lfe2-70e-7fn900c 583 1.2v -7 lead-free fpbga 900 com 70 part number i/os voltage grade package pins temp. luts (k) lfe2-6e-5tn144i 90 1.2v -5 lead-free tqfp 144 ind 6 lfe2-6e-6tn144i 90 1.2v -6 lead-free tqfp 144 ind 6 lfe2-6e-5fn256i 190 1.2v -5 lead-free fpbga 256 ind 6 lfe2-6e-6fn256i 190 1.2v -6 lead-free fpbga 256 ind 6 part number i/os voltage grade package pins temp. luts (k) lfe2-12e-5tn144i 93 1.2v -5 lead-free tqfp 144 ind 12 lfe2-12e-6tn144i 93 1.2v -6 lead-free tqfp 144 ind 12 lfe2-12e-5qn208i 131 1.2v -5 lead-free pqfp 208 ind 12 lfe2-12e-6qn208i 131 1.2v -6 lead-free pqfp 208 ind 12 lfe2-12e-5fn256i 193 1.2v -5 lead-free fpbga 256 ind 12 lfe2-12e-6fn256i 193 1.2v -6 lead-free fpbga 256 ind 12 lfe2-12e-5fn484i 297 1.2v -5 lead-free fpbga 484 ind 12 lfe2-12e-6fn484i 297 1.2v -6 lead-free fpbga 484 ind 12
5-7 ordering information lattice semiconductor latticeecp2/m family data sheet part number i/os voltage grade package pins temp. luts (k) lfe2-20e-5qn208i 131 1.2v -5 lead-free pqfp 208 ind 20 lfe2-20e-6qn208i 131 1.2v -6 lead-free pqfp 208 ind 20 lfe2-20e-5fn256i 193 1.2v -5 lead-free fpbga 256 ind 20 lfe2-20e-6fn256i 193 1.2v -6 lead-free fpbga 256 ind 20 lfe2-20e-5fn484i 331 1.2v -5 lead-free fpbga 484 ind 20 lfe2-20e-6fn484i 331 1.2v -6 lead-free fpbga 484 ind 20 lfe2-20e-5fn672i 402 1.2v -5 lead-free fpbga 672 ind 20 lfe2-20e-6fn672i 402 1.2v -6 lead-free fpbga 672 ind 20 part number i/os voltage grade package pins temp. luts (k) lfe2-35e-5fn484i 331 1.2v -5 lead-free fpbga 484 ind 35 lfe2-35e-6fn484i 331 1.2v -6 lead-free fpbga 484 ind 35 lfe2-35e-5fn672i 450 1.2v -5 lead-free fpbga 672 ind 35 lfe2-35e-6fn672i 450 1.2v -6 lead-free fpbga 672 ind 35 part number i/os voltage grade package pins temp. luts (k) lfe2-50e-5fn484i 339 1.2v -5 lead-free fpbga 484 ind 50 lfe2-50e-6fn484i 339 1.2v -6 lead-free fpbga 484 ind 50 lfe2-50e-5fn672i 500 1.2v -5 lead-free fpbga 672 ind 50 lfe2-50e-6fn672i 500 1.2v -6 lead-free fpbga 672 ind 50 part number i/os voltage grade package pins temp. luts (k) lfe2-70e-5fn672i 500 1.2v -5 lead-free fpbga 672 ind 70 lfe2-70e-6fn672i 500 1.2v -6 lead-free fpbga 672 ind 70 lfe2-70e-5fn900i 583 1.2v -5 lead-free fpbga 900 ind 70 lfe2-70e-6fn900i 583 1.2v -6 lead-free fpbga 900 ind 70
5-8 ordering information lattice semiconductor latticeecp2/m family data sheet latticeecp2 s-series devices, conventional packaging commercial part number i/os voltage grade package pins temp. luts (k) lfe2-6se-5t144c 90 1.2v -5 tqfp 144 com 6 lfe2-6se-6t144c 90 1.2v -6 tqfp 144 com 6 lfe2-6se-7t144c 90 1.2v -7 tqfp 144 com 6 lfe2-6se-5f256c 190 1.2v -5 fpbga 256 com 6 lfe2-6se-6f256c 190 1.2v -6 fpbga 256 com 6 lfe2-6se-7f256c 190 1.2v -7 fpbga 256 com 6 part number i/os voltage grade package pins temp. luts (k) lfe2-12se-5t144c 93 1.2v -5 tqfp 144 com 12 lfe2-12se-6t144c 93 1.2v -6 tqfp 144 com 12 lfe2-12se-7t144c 93 1.2v -7 tqfp 144 com 12 lfe2-12se-5q208c 131 1.2v -5 pqfp 208 com 12 lfe2-12se-6q208c 131 1.2v -6 pqfp 208 com 12 lfe2-12se-7q208c 131 1.2v -7 pqfp 208 com 12 lfe2-12se-5f256c 193 1.2v -5 fpbga 256 com 12 lfe2-12se-6f256c 193 1.2v -6 fpbga 256 com 12 lfe2-12se-7f256c 193 1.2v -7 fpbga 256 com 12 lfe2-12se-5f484c 297 1.2v -5 fpbga 484 com 12 lfe2-12se-6f484c 297 1.2v -6 fpbga 484 com 12 lfe2-12se-7f484c 297 1.2v -7 fpbga 484 com 12 part number i/os voltage grade package pins temp. luts (k) lfe2-20se-5q208c 131 1.2v -5 pqfp 208 com 20 lfe2-20se-6q208c 131 1.2v -6 pqfp 208 com 20 lfe2-20se-7q208c 131 1.2v -7 pqfp 208 com 20 lfe2-20se-5f256c 193 1.2v -5 fpbga 256 com 20 lfe2-20se-6f256c 193 1.2v -6 fpbga 256 com 20 lfe2-20se-7f256c 193 1.2v -7 fpbga 256 com 20 lfe2-20se-5f484c 331 1.2v -5 fpbga 484 com 20 lfe2-20se-6f484c 331 1.2v -6 fpbga 484 com 20 lfe2-20se-7f484c 331 1.2v -7 fpbga 484 com 20 lfe2-20se-5f672c 402 1.2v -5 fpbga 672 com 20 lfe2-20se-6f672c 402 1.2v -6 fpbga 672 com 20 lfe2-20se-7f672c 402 1.2v -7 fpbga 672 com 20
5-9 ordering information lattice semiconductor latticeecp2/m family data sheet industrial part number i/os voltage grade package pins temp. luts (k) lfe2-35se-5f484c 331 1.2v -5 fpbga 484 com 35 lfe2-35se-6f484c 331 1.2v -6 fpbga 484 com 35 lfe2-35se-7f484c 331 1.2v -7 fpbga 484 com 35 lfe2-35se-5f672c 450 1.2v -5 fpbga 672 com 35 lfe2-35se-6f672c 450 1.2v -6 fpbga 672 com 35 lfe2-35se-7f672c 450 1.2v -7 fpbga 672 com 35 part number i/os voltage grade package pins temp. luts (k) lfe2-50se-5f484c 339 1.2v -5 fpbga 484 com 50 lfe2-50se-6f484c 339 1.2v -6 fpbga 484 com 50 lfe2-50se-7f484c 339 1.2v -7 fpbga 484 com 50 lfe2-50se-5f672c 500 1.2v -5 fpbga 672 com 50 lfe2-50se-6f672c 500 1.2v -6 fpbga 672 com 50 lfe2-50se-7f672c 500 1.2v -7 fpbga 672 com 50 part number i/os voltage grade package pins temp. luts (k) lfe2-70se-5f672c 500 1.2v -5 fpbga 672 com 70 lfe2-70se-6f672c 500 1.2v -6 fpbga 672 com 70 lfe2-70se-7f672c 500 1.2v -7 fpbga 672 com 70 lfe2-70se-5f900c 583 1.2v -5 fpbga 900 com 70 lfe2-70se-6f900c 583 1.2v -6 fpbga 900 com 70 lfe2-70se-7f900c 583 1.2v -7 fpbga 900 com 70 part number i/os voltage grade package pins temp. luts (k) lfe2-6se-5t144i 90 1.2v -5 tqfp 144 ind 6 lfe2-6se-6t144i 90 1.2v -6 tqfp 144 ind 6 lfe2-6se-5f256i 190 1.2v -5 fpbga 256 ind 6 lfe2-6se-6f256i 190 1.2v -6 fpbga 256 ind 6 part number i/os voltage grade package pins temp. luts (k) lfe2-12se-5t144i 93 1.2v -5 tqfp 144 ind 12 lfe2-12se-6t144i 93 1.2v -6 tqfp 144 ind 12 lfe2-12se-5q208i 131 1.2v -5 pqfp 208 ind 12 lfe2-12se-6q208i 131 1.2v -6 pqfp 208 ind 12 lfe2-12se-5f256i 193 1.2v -5 fpbga 256 ind 12 lfe2-12se-6f256i 193 1.2v -6 fpbga 256 ind 12 lfe2-12se-5f484i 297 1.2v -5 fpbga 484 ind 12 lfe2-12se-6f484i 297 1.2v -6 fpbga 484 ind 12
5-10 ordering information lattice semiconductor latticeecp2/m family data sheet part number i/os voltage grade package pins temp. luts (k) lfe2-20se-5q208i 131 1.2v -5 pqfp 208 ind 20 lfe2-20se-6q208i 131 1.2v -6 pqfp 208 ind 20 lfe2-20se-5f256i 193 1.2v -5 fpbga 256 ind 20 lfe2-20se-6f256i 193 1.2v -6 fpbga 256 ind 20 lfe2-20se-5f484i 331 1.2v -5 fpbga 484 ind 20 lfe2-20se-6f484i 331 1.2v -6 fpbga 484 ind 20 lfe2-20se-5f672i 402 1.2v -5 fpbga 672 ind 20 lfe2-20se-6f672i 402 1.2v -6 fpbga 672 ind 20 part number i/os voltage grade package pins temp. luts (k) lfe2-35se-5f484i 331 1.2v -5 fpbga 484 ind 35 lfe2-35se-6f484i 331 1.2v -6 fpbga 484 ind 35 lfe2-35se-5f672i 450 1.2v -5 fpbga 672 ind 35 lfe2-35se-6f672i 450 1.2v -6 fpbga 672 ind 35 part number i/os voltage grade package pins temp. luts (k) lfe2-50se-5f484i 339 1.2v -5 fpbga 484 ind 50 lfe2-50se-6f484i 339 1.2v -6 fpbga 484 ind 50 lfe2-50se-5f672i 500 1.2v -5 fpbga 672 ind 50 lfe2-50se-6f672i 500 1.2v -6 fpbga 672 ind 50 part number i/os voltage grade package pins temp. luts (k) lfe2-70se-5f672i 500 1.2v -5 fpbga 672 ind 70 lfe2-70se-6f672i 500 1.2v -6 fpbga 672 ind 70 lfe2-70se-5f900i 583 1.2v -5 fpbga 900 ind 70 lfe2-70se-6f900i 583 1.2v -6 fpbga 900 ind 70
5-11 ordering information lattice semiconductor latticeecp2/m family data sheet latticeecp2 s-series devices, lead-free packaging commercial part number i/os voltage grade package pins temp. luts (k) lfe2-6se-5tn144c 90 1.2v -5 lead-free tqfp 144 com 6 lfe2-6se-6tn144c 90 1.2v -6 lead-free tqfp 144 com 6 lfe2-6se-7tn144c 90 1.2v -7 lead-free tqfp 144 com 6 lfe2-6se-5fn256c 190 1.2v -5 lead-free fpbga 256 com 6 lfe2-6se-6fn256c 190 1.2v -6 lead-free fpbga 256 com 6 lfe2-6se-7fn256c 190 1.2v -7 lead-free fpbga 256 com 6 part number i/os voltage grade package pins temp. luts (k) lfe2-12se-5tn144c 93 1.2v -5 lead-free tqfp 144 com 12 lfe2-12se-6tn144c 93 1.2v -6 lead-free tqfp 144 com 12 lfe2-12se-7tn144c 93 1.2v -7 lead-free tqfp 144 com 12 lfe2-12se-5qn208c 131 1.2v -5 lead-free pqfp 208 com 12 lfe2-12se-6qn208c 131 1.2v -6 lead-free pqfp 208 com 12 lfe2-12se-7qn208c 131 1.2v -7 lead-free pqfp 208 com 12 lfe2-12se-5fn256c 193 1.2v -5 lead-free fpbga 256 com 12 lfe2-12se-6fn256c 193 1.2v -6 lead-free fpbga 256 com 12 lfe2-12se-7fn256c 193 1.2v -7 lead-free fpbga 256 com 12 lfe2-12se-5fn484c 297 1.2v -5 lead-free fpbga 484 com 12 lfe2-12se-6fn484c 297 1.2v -6 lead-free fpbga 484 com 12 lfe2-12se-7fn484c 297 1.2v -7 lead-free fpbga 484 com 12 part number i/os voltage grade package pins temp. luts (k) lfe2-20se-5qn208c 131 1.2v -5 lead-free pqfp 208 com 20 lfe2-20se-6qn208c 131 1.2v -6 lead-free pqfp 208 com 20 lfe2-20se-7qn208c 131 1.2v -7 lead-free pqfp 208 com 20 lfe2-20se-5fn256c 193 1.2v -5 lead-free fpbga 256 com 20 lfe2-20se-6fn256c 193 1.2v -6 lead-free fpbga 256 com 20 lfe2-20se-7fn256c 193 1.2v -7 lead-free fpbga 256 com 20 lfe2-20se-5fn484c 331 1.2v -5 lead-free fpbga 484 com 20 lfe2-20se-6fn484c 331 1.2v -6 lead-free fpbga 484 com 20 lfe2-20se-7fn484c 331 1.2v -7 lead-free fpbga 484 com 20 lfe2-20se-5fn672c 402 1.2v -5 lead-free fpbga 672 com 20 lfe2-20se-6fn672c 402 1.2v -6 lead-free fpbga 672 com 20 lfe2-20se-7fn672c 402 1.2v -7 lead-free fpbga 672 com 20
5-12 ordering information lattice semiconductor latticeecp2/m family data sheet industrial part number i/os voltage grade package pins temp. luts (k) lfe2-35se-5fn484c 331 1.2v -5 lead-free fpbga 484 com 35 lfe2-35se-6fn484c 331 1.2v -6 lead-free fpbga 484 com 35 lfe2-35se-7fn484c 331 1.2v -7 lead-free fpbga 484 com 35 lfe2-35se-5fn672c 450 1.2v -5 lead-free fpbga 672 com 35 lfe2-35se-6fn672c 450 1.2v -6 lead-free fpbga 672 com 35 lfe2-35se-7fn672c 450 1.2v -7 lead-free fpbga 672 com 35 part number i/os voltage grade package pins temp. luts (k) lfe2-50se-5fn484c 339 1.2v -5 lead-free fpbga 484 com 50 lfe2-50se-6fn484c 339 1.2v -6 lead-free fpbga 484 com 50 lfe2-50se-7fn484c 339 1.2v -7 lead-free fpbga 484 com 50 lfe2-50se-5fn672c 500 1.2v -5 lead-free fpbga 672 com 50 lfe2-50se-6fn672c 500 1.2v -6 lead-free fpbga 672 com 50 lfe2-50se-7fn672c 500 1.2v -7 lead-free fpbga 672 com 50 part number i/os voltage grade package pins temp. luts (k) lfe2-70se-5fn672c 500 1.2v -5 lead-free fpbga 672 com 70 lfe2-70se-6fn672c 500 1.2v -6 lead-free fpbga 672 com 70 lfe2-70se-7fn672c 500 1.2v -7 lead-free fpbga 672 com 70 lfe2-70se-5fn900c 583 1.2v -5 lead-free fpbga 900 com 70 lfe2-70se-6fn900c 583 1.2v -6 lead-free fpbga 900 com 70 lfe2-70se-7fn900c 583 1.2v -7 lead-free fpbga 900 com 70 part number i/os voltage grade package pins temp. luts (k) lfe2-6se-5tn144i 90 1.2v -5 lead-free tqfp 144 ind 6 lfe2-6se-6tn144i 90 1.2v -6 lead-free tqfp 144 ind 6 lfe2-6se-5fn256i 190 1.2v -5 lead-free fpbga 256 ind 6 lfe2-6se-6fn256i 190 1.2v -6 lead-free fpbga 256 ind 6 part number i/os voltage grade package pins temp. luts (k) lfe2-12se-5tn144i 93 1.2v -5 lead-free tqfp 144 ind 12 lfe2-12se-6tn144i 93 1.2v -6 lead-free tqfp 144 ind 12 LFE2-12SE-5QN208I 131 1.2v -5 lead-free pqfp 208 ind 12 lfe2-12se-6qn208i 131 1.2v -6 lead-free pqfp 208 ind 12 lfe2-12se-5fn256i 193 1.2v -5 lead-free fpbga 256 ind 12 lfe2-12se-6fn256i 193 1.2v -6 lead-free fpbga 256 ind 12 lfe2-12se-5fn484i 297 1.2v -5 lead-free fpbga 484 ind 12 lfe2-12se-6fn484i 297 1.2v -6 lead-free fpbga 484 ind 12
5-13 ordering information lattice semiconductor latticeecp2/m family data sheet part number i/os voltage grade package pins temp. luts (k) lfe2-20se-5qn208i 131 1.2v -5 lead-free pqfp 208 ind 20 lfe2-20se-6qn208i 131 1.2v -6 lead-free pqfp 208 ind 20 lfe2-20se-5fn256i 193 1.2v -5 lead-free fpbga 256 ind 20 lfe2-20se-6fn256i 193 1.2v -6 lead-free fpbga 256 ind 20 lfe2-20se-5fn484i 331 1.2v -5 lead-free fpbga 484 ind 20 lfe2-20se-6fn484i 331 1.2v -6 lead-free fpbga 484 ind 20 lfe2-20se-5fn672i 402 1.2v -5 lead-free fpbga 672 ind 20 lfe2-20se-6fn672i 402 1.2v -6 lead-free fpbga 672 ind 20 part number i/os voltage grade package pins temp. luts (k) lfe2-35se-5fn484i 331 1.2v -5 lead-free fpbga 484 ind 35 lfe2-35se-6fn484i 331 1.2v -6 lead-free fpbga 484 ind 35 lfe2-35se-5fn672i 450 1.2v -5 lead-free fpbga 672 ind 35 lfe2-35se-6fn672i 450 1.2v -6 lead-free fpbga 672 ind 35 part number i/os voltage grade package pins temp. luts (k) lfe2-50se-5fn484i 339 1.2v -5 lead-free fpbga 484 ind 50 lfe2-50se-6fn484i 339 1.2v -6 lead-free fpbga 484 ind 50 lfe2-50se-5fn672i 500 1.2v -5 lead-free fpbga 672 ind 50 lfe2-50se-6fn672i 500 1.2v -6 lead-free fpbga 672 ind 50 part number i/os voltage grade package pins temp. luts (k) lfe2-70se-5fn672i 500 1.2v -5 lead-free fpbga 672 ind 70 lfe2-70se-6fn672i 500 1.2v -6 lead-free fpbga 672 ind 70 lfe2-70se-5fn900i 583 1.2v -5 lead-free fpbga 900 ind 70 lfe2-70se-6fn900i 583 1.2v -6 lead-free fpbga 900 ind 70
5-14 ordering information lattice semiconductor latticeecp2/m family data sheet latticeecp2m part number description ordering information note: latticeecp2m devices are dual marked. for example, the commercial speed grade lfe2m50e-7f672c is also marked with industrial grade -6i (lfe2m50e-6f672i). the commercial grade is one speed grade faster than the associated dual mark industrial grade. the slowest commercial grade does not have industrial markings. the markings appear as follows: lfe2m xxx xe ?x xxxxxx x grade c = commercial i = industrial logic capacity 20 = 20k luts 35 = 35k luts 50 = 50k luts 70 = 70k luts 100 = 100k luts supply voltage e = 1.2v speed 5 = slowest 6 7 = fastest package f256 = 256-ball fpbga f484 = 484-ball fpbga f672 = 672-ball fpbga f900 = 900-ball fpbga f1152 = 1152-ball fpbga f1156 = 1156-ball fpbga fn256 = 256-ball lead-free fpbga fn484 = 484-ball lead-free fpbga fn672 = 672-ball lead-free fpbga fn900 = 900-ball lead-free fpbga fn1152 = 1152-ball lead-free fpbga fn1156 = 1156-ball lead-free fpbga device family ecp2m (latticeecp2 fpga + serdes) encryption s = security series (encryption feature) blank = standard series (no encryption) lfe2m35e 7f672c-6i datecode lfe2m35se 7f672c-6i datecode contact your local lattice sales representative for product availability.
5-15 ordering information lattice semiconductor latticeecp2/m family data sheet latticeecp2m standard series devices, conventional packaging commercial part number i/os voltage grade package pins temp. luts (k) lfe2m20e-5f484c 304 1.2v -5 fpbga 484 com 20 lfe2m20e-6f484c 304 1.2v -6 fpbga 484 com 20 lfe2m20e-7f484c 304 1.2v -7 fpbga 484 com 20 lfe2m20e-5f256c 140 1.2v -5 fpbga 256 com 20 lfe2m20e-6f256c 140 1.2v -6 fpbga 256 com 20 lfe2m20e-7f256c 140 1.2v -7 fpbga 256 com 20 part number i/os voltage grade package pins temp. luts (k) lfe2m35e-5f672c 410 1.2v -5 fpbga 672 com 35 lfe2m35e-6f672c 410 1.2v -6 fpbga 672 com 35 lfe2m35e-7f672c 410 1.2v -7 fpbga 672 com 35 lfe2m35e-5f484c 303 1.2v -5 fpbga 484 com 35 lfe2m35e-6f484c 303 1.2v -6 fpbga 484 com 35 lfe2m35e-7f484c 303 1.2v -7 fpbga 484 com 35 lfe2m35e-5f256c 140 1.2v -5 fpbga 256 com 35 lfe2m35e-6f256c 140 1.2v -6 fpbga 256 com 35 lfe2m35e-7f256c 140 1.2v -7 fpbga 256 com 35 part number i/os voltage grade package pins temp. luts (k) lfe2m50e-5f900c 410 1.2v -5 fpbga 900 com 50 lfe2m50e-6f900c 410 1.2v -6 fpbga 900 com 50 lfe2m50e-7f900c 410 1.2v -7 fpbga 900 com 50 lfe2m50e-5f672c 372 1.2v -5 fpbga 672 com 50 lfe2m50e-6f672c 372 1.2v -6 fpbga 672 com 50 lfe2m50e-7f672c 372 1.2v -7 fpbga 672 com 50 lfe2m50e-5f484c 270 1.2v -5 fpbga 484 com 50 lfe2m50e-6f484c 270 1.2v -6 fpbga 484 com 50 lfe2m50e-7f484c 270 1.2v -7 fpbga 484 com 50 part number i/os voltage grade package pins temp. luts (k) lfe2m70e-5f1152c 436 1.2v -5 fpbga 1152 com 70 lfe2m70e-6f1152c 436 1.2v -6 fpbga 1152 com 70 lfe2m70e-7f1152c 436 1.2v -7 fpbga 1152 com 70 lfe2m70e-5f900c 416 1.2v -5 fpbga 900 com 70 lfe2m70e-6f900c 416 1.2v -6 fpbga 900 com 70 lfe2m70e-7f900c 416 1.2v -7 fpbga 900 com 70
5-16 ordering information lattice semiconductor latticeecp2/m family data sheet part number i/os voltage grade package pins temp. luts (k) lfe2m100e-5f1152c 520 1.2v -5 fpbga 1152 com 100 lfe2m100e-6f1152c 520 1.2v -6 fpbga 1152 com 100 lfe2m100e-7f1152c 520 1.2v -7 fpbga 1152 com 100 lfe2m100e-5f900c 416 1.2v -5 fpbga 900 com 100 lfe2m100e-6f900c 416 1.2v -6 fpbga 900 com 100 lfe2m100e-7f900c 416 1.2v -7 fpbga 900 com 100
5-17 ordering information lattice semiconductor latticeecp2/m family data sheet industrial part number i/os voltage grade package pins temp. luts (k) lfe2m20e-5f484i 304 1.2v -5 fpbga 484 ind 20 lfe2m20e-6f484i 304 1.2v -6 fpbga 484 ind 20 lfe2m20e-5f256i 140 1.2v -5 fpbga 256 ind 20 lfe2m20e-6f256i 140 1.2v -6 fpbga 256 ind 20 part number i/os voltage grade package pins temp. luts (k) lfe2m35e-5f672i 410 1.2v -5 fpbga 672 ind 35 lfe2m35e-6f672i 410 1.2v -6 fpbga 672 ind 35 lfe2m35e-5f484i 303 1.2v -5 fpbga 484 ind 35 lfe2m35e-6f484i 303 1.2v -6 fpbga 484 ind 35 lfe2m35e-5f256i 140 1.2v -5 fpbga 256 ind 35 lfe2m35e-6f256i 140 1.2v -6 fpbga 256 ind 35 part number i/os voltage grade package pins temp. luts (k) lfe2m50e-5f900i 410 1.2v -5 fpbga 900 ind 50 lfe2m50e-6f900i 410 1.2v -6 fpbga 900 ind 50 lfe2m50e-5f672i 372 1.2v -5 fpbga 672 ind 50 lfe2m50e-6f672i 372 1.2v -6 fpbga 672 ind 50 lfe2m50e-5f484i 270 1.2v -5 fpbga 484 ind 50 lfe2m50e-6f484i 270 1.2v -6 fpbga 484 ind 50 part number i/os voltage grade package pins temp. luts (k) lfe2m70e-5f1152i 436 1.2v -5 fpbga 1152 ind 70 lfe2m70e-6f1152i 436 1.2v -6 fpbga 1152 ind 70 lfe2m70e-5f900i 416 1.2v -5 fpbga 900 ind 70 lfe2m70e-6f900i 416 1.2v -6 fpbga 900 ind 70 part number i/os voltage grade package pins temp. luts (k) lfe2m100e-5f1152i 520 1.2v -5 fpbga 1152 ind 100 lfe2m100e-6f1152i 520 1.2v -6 fpbga 1152 ind 100 lfe2m100e-5f900i 416 1.2v -5 fpbga 900 ind 100 lfe2m100e-6f900i 416 1.2v -6 fpbga 900 ind 100
5-18 ordering information lattice semiconductor latticeecp2/m family data sheet latticeecp2m standard series devices, lead-free packaging commercial part number i/os voltage grade package pins temp. luts (k) lfe2m20e-5fn484c 304 1.2v -5 lead-free fpbga 484 com 20 lfe2m20e-6fn484c 304 1.2v -6 lead-free fpbga 484 com 20 lfe2m20e-7fn484c 304 1.2v -7 lead-free fpbga 484 com 20 lfe2m20e-5fn256c 140 1.2v -5 lead-free fpbga 256 com 20 lfe2m20e-6fn256c 140 1.2v -6 lead-free fpbga 256 com 20 lfe2m20e-7fn256c 140 1.2v -7 lead-free fpbga 256 com 20 part number i/os voltage grade package pins temp. luts (k) lfe2m35e-5fn672c 410 1.2v -5 lead-free fpbga 672 com 35 lfe2m35e-6fn672c 410 1.2v -6 lead-free fpbga 672 com 35 lfe2m35e-7fn672c 410 1.2v -7 lead-free fpbga 672 com 35 lfe2m35e-5fn484c 303 1.2v -5 lead-free fpbga 484 com 35 lfe2m35e-6fn484c 303 1.2v -6 lead-free fpbga 484 com 35 lfe2m35e-7fn484c 303 1.2v -7 lead-free fpbga 484 com 35 lfe2m35e-5fn256c 140 1.2v -5 lead-free fpbga 256 com 35 lfe2m35e-6fn256c 140 1.2v -6 lead-free fpbga 256 com 35 lfe2m35e-7fn256c 140 1.2v -7 lead-free fpbga 256 com 35 part number i/os voltage grade package pins temp. luts (k) lfe2m50e-5fn900c 410 1.2v -5 lead-free fpbga 900 com 50 lfe2m50e-6fn900c 410 1.2v -6 lead-free fpbga 900 com 50 lfe2m50e-7fn900c 410 1.2v -7 lead-free fpbga 900 com 50 lfe2m50e-5fn672c 372 1.2v -5 lead-free fpbga 672 com 50 lfe2m50e-6fn672c 372 1.2v -6 lead-free fpbga 672 com 50 lfe2m50e-7fn672c 372 1.2v -7 lead-free fpbga 672 com 50 lfe2m50e-5fn484c 270 1.2v -5 lead-free fpbga 484 com 50 lfe2m50e-6fn484c 270 1.2v -6 lead-free fpbga 484 com 50 lfe2m50e-7fn484c 270 1.2v -7 lead-free fpbga 484 com 50 part number i/os voltage grade package pins temp. luts (k) lfe2m70e-5fn1152c 436 1.2v -5 lead-free fpbga 1152 com 70 lfe2m70e-6fn1152c 436 1.2v -6 lead-free fpbga 1152 com 70 lfe2m70e-7fn1152c 436 1.2v -7 lead-free fpbga 1152 com 70 lfe2m70e-5fn900c 416 1.2v -5 lead-free fpbga 900 com 70 lfe2m70e-6fn900c 416 1.2v -6 lead-free fpbga 900 com 70 lfe2m70e-7fn900c 416 1.2v -7 lead-free fpbga 900 com 70
5-19 ordering information lattice semiconductor latticeecp2/m family data sheet industrial part number i/os voltage grade package pins temp. luts (k) lfe2m100e-5fn1152c 520 1.2v -5 lead-free fpbga 1152 com 100 lfe2m100e-6fn1152c 520 1.2v -6 lead-free fpbga 1152 com 100 lfe2m100e-7fn1152c 520 1.2v -7 lead-free fpbga 1152 com 100 lfe2m100e-5fn900c 416 1.2v -5 lead-free fpbga 900 com 100 lfe2m100e-6fn900c 416 1.2v -6 lead-free fpbga 900 com 100 lfe2m100e-7fn900c 416 1.2v -7 lead-free fpbga 900 com 100 part number i/os voltage grade package pins temp. luts (k) lfe2m20e-5fn484i 304 1.2v -5 lead-free fpbga 484 ind 20 lfe2m20e-6fn484i 304 1.2v -6 lead-free fpbga 484 ind 20 lfe2m20e-5fn256i 140 1.2v -5 lead-free fpbga 256 ind 20 lfe2m20e-6fn256i 140 1.2v -6 lead-free fpbga 256 ind 20 part number i/os voltage grade package pins temp. luts (k) lfe2m35e-5fn672i 410 1.2v -5 lead-free fpbga 672 ind 35 lfe2m35e-6fn672i 410 1.2v -6 lead-free fpbga 672 ind 35 lfe2m35e-5fn484i 303 1.2v -5 lead-free fpbga 484 ind 35 lfe2m35e-6fn484i 303 1.2v -6 lead-free fpbga 484 ind 35 lfe2m35e-5fn256i 140 1.2v -5 lead-free fpbga 256 ind 35 lfe2m35e-6fn256i 140 1.2v -6 lead-free fpbga 256 ind 35 part number i/os voltage grade package pins temp. luts (k) lfe2m50e-5fn900i 410 1.2v -5 lead-free fpbga 900 ind 50 lfe2m50e-6fn900i 410 1.2v -6 lead-free fpbga 900 ind 50 lfe2m50e-5fn672i 372 1.2v -5 lead-free fpbga 672 ind 50 lfe2m50e-6fn672i 372 1.2v -6 lead-free fpbga 672 ind 50 lfe2m50e-5fn484i 270 1.2v -5 lead-free fpbga 484 ind 50 lfe2m50e-6fn484i 270 1.2v -6 lead-free fpbga 484 ind 50 part number i/os voltage grade package pins temp. luts (k) lfe2m70e-5fn1152i 436 1.2v -5 lead-free fpbga 1152 ind 70 lfe2m70e-6fn1152i 436 1.2v -6 lead-free fpbga 1152 ind 70 lfe2m70e-5fn900i 416 1.2v -5 lead-free fpbga 900 ind 70 lfe2m70e-6fn900i 416 1.2v -6 lead-free fpbga 900 ind 70
5-20 ordering information lattice semiconductor latticeecp2/m family data sheet latticeecp2m s-series devices, conventional packaging commercial part number i/os voltage grade package pins temp. luts (k) lfe2m100e-5fn1152i 520 1.2v -5 lead-free fpbga 1152 ind 100 lfe2m100e-6fn1152i 520 1.2v -6 lead-free fpbga 1152 ind 100 lfe2m100e-5fn900i 416 1.2v -5 lead-free fpbga 900 ind 100 lfe2m100e-6fn900i 416 1.2v -6 lead-free fpbga 900 ind 100 part number i/os voltage grade package pins temp. luts (k) lfe2m20se-5f484c 304 1.2v -5 fpbga 484 com 20 lfe2m20se-6f484c 304 1.2v -6 fpbga 484 com 20 lfe2m20se-7f484c 304 1.2v -7 fpbga 484 com 20 lfe2m20se-5f256c 140 1.2v -5 fpbga 256 com 20 lfe2m20se-6f256c 140 1.2v -6 fpbga 256 com 20 lfe2m20se-7f256c 140 1.2v -7 fpbga 256 com 20 part number i/os voltage grade package pins temp. luts (k) lfe2m35se-5f672c 410 1.2v -5 fpbga 672 com 35 lfe2m35se-6f672c 410 1.2v -6 fpbga 672 com 35 lfe2m35se-7f672c 410 1.2v -7 fpbga 672 com 35 lfe2m35se-5f484c 303 1.2v -5 fpbga 484 com 35 lfe2m35se-6f484c 303 1.2v -6 fpbga 484 com 35 lfe2m35se-7f484c 303 1.2v -7 fpbga 484 com 35 lfe2m35se-5f256c 140 1.2v -5 fpbga 256 com 35 lfe2m35se-6f256c 140 1.2v -6 fpbga 256 com 35 lfe2m35se-7f256c 140 1.2v -7 fpbga 256 com 35 part number i/os voltage grade package pins temp. luts (k) lfe2m50se-5f900c 410 1.2v -5 fpbga 900 com 50 lfe2m50se-6f900c 410 1.2v -6 fpbga 900 com 50 lfe2m50se-7f900c 410 1.2v -7 fpbga 900 com 50 lfe2m50se-5f672c 372 1.2v -5 fpbga 672 com 50 lfe2m50se-6f672c 372 1.2v -6 fpbga 672 com 50 lfe2m50se-7f672c 372 1.2v -7 fpbga 672 com 50 lfe2m50se-5f484c 270 1.2v -5 fpbga 484 com 50 lfe2m50se-6f484c 270 1.2v -6 fpbga 484 com 50 lfe2m50se-7f484c 270 1.2v -7 fpbga 484 com 50
5-21 ordering information lattice semiconductor latticeecp2/m family data sheet part number i/os voltage grade package pins temp. luts (k) lfe2m70se-5f1152c 436 1.2v -5 fpbga 1152 com 70 lfe2m70se-6f1152c 436 1.2v -6 fpbga 1152 com 70 lfe2m70se-7f1152c 436 1.2v -7 fpbga 1152 com 70 lfe2m70se-5f900c 416 1.2v -5 fpbga 900 com 70 lfe2m70se-6f900c 416 1.2v -6 fpbga 900 com 70 lfe2m70se-7f900c 416 1.2v -7 fpbga 900 com 70 part number i/os voltage grade package pins temp. luts (k) lfe2m100se-5f1152c 520 1.2v -5 fpbga 1152 com 100 lfe2m100se-6f1152c 520 1.2v -6 fpbga 1152 com 100 lfe2m100se-7f1152c 520 1.2v -7 fpbga 1152 com 100 lfe2m100se-5f900c 416 1.2v -5 fpbga 900 com 100 lfe2m100se-6f900c 416 1.2v -6 fpbga 900 com 100 lfe2m100se-7f900c 416 1.2v -7 fpbga 900 com 100
5-22 ordering information lattice semiconductor latticeecp2/m family data sheet industrial part number i/os voltage grade package pins temp. luts (k) lfe2m20se-5f484i 304 1.2v -5 fpbga 484 ind 20 lfe2m20se-6f484i 304 1.2v -6 fpbga 484 ind 20 lfe2m20se-5f256i 140 1.2v -5 fpbga 256 ind 20 lfe2m20se-6f256i 140 1.2v -6 fpbga 256 ind 20 part number i/os voltage grade package pins temp. luts (k) lfe2m35se-5f672i 410 1.2v -5 fpbga 672 ind 35 lfe2m35se-6f672i 410 1.2v -6 fpbga 672 ind 35 lfe2m35se-5f484i 303 1.2v -5 fpbga 484 ind 35 lfe2m35se-6f484i 303 1.2v -6 fpbga 484 ind 35 lfe2m35se-5f256i 140 1.2v -5 fpbga 256 ind 35 lfe2m35se-6f256i 140 1.2v -6 fpbga 256 ind 35 part number i/os voltage grade package pins temp. luts (k) lfe2m50se-5f900i 410 1.2v -5 fpbga 900 ind 50 lfe2m50se-6f900i 410 1.2v -6 fpbga 900 ind 50 lfe2m50se-5f672i 372 1.2v -5 fpbga 672 ind 50 lfe2m50se-6f672i 372 1.2v -6 fpbga 672 ind 50 lfe2m50se-5f484i 270 1.2v -5 fpbga 484 ind 50 lfe2m50se-6f484i 270 1.2v -6 fpbga 484 ind 50 part number i/os voltage grade package pins temp. luts (k) lfe2m70se-5f1152i 436 1.2v -5 fpbga 1152 ind 70 lfe2m70se-6f1152i 436 1.2v -6 fpbga 1152 ind 70 lfe2m70se-5f900i 416 1.2v -5 fpbga 900 ind 70 lfe2m70se-6f900i 416 1.2v -6 fpbga 900 ind 70 part number i/os voltage grade package pins temp. luts (k) lfe2m100se-5f1152i 520 1.2v -5 fpbga 1152 ind 100 lfe2m100se-6f1152i 520 1.2v -6 fpbga 1152 ind 100 lfe2m100se-5f900i 416 1.2v -5 fpbga 900 ind 100 lfe2m100se-6f900i 416 1.2v -6 fpbga 900 ind 100
5-23 ordering information lattice semiconductor latticeecp2/m family data sheet latticeecp2m s-series devices, lead-free packaging commercial part number i/os voltage grade package pins temp. luts (k) lfe2m20se-5fn484c 304 1.2v -5 lead-free fpbga 484 com 20 lfe2m20se-6fn484c 304 1.2v -6 lead-free fpbga 484 com 20 lfe2m20se-7fn484c 304 1.2v -7 lead-free fpbga 484 com 20 lfe2m20se-5fn256c 140 1.2v -5 lead-free fpbga 256 com 20 lfe2m20se-6fn256c 140 1.2v -6 lead-free fpbga 256 com 20 lfe2m20se-7fn256c 140 1.2v -7 lead-free fpbga 256 com 20 part number i/os voltage grade package pins temp. luts (k) lfe2m35se-5fn672c 410 1.2v -5 lead-free fpbga 672 com 35 lfe2m35se-6fn672c 410 1.2v -6 lead-free fpbga 672 com 35 lfe2m35se-7fn672c 410 1.2v -7 lead-free fpbga 672 com 35 lfe2m35se-5fn484c 303 1.2v -5 lead-free fpbga 484 com 35 lfe2m35se-6fn484c 303 1.2v -6 lead-free fpbga 484 com 35 lfe2m35se-7fn484c 303 1.2v -7 lead-free fpbga 484 com 35 lfe2m35se-5fn256c 140 1.2v -5 lead-free fpbga 256 com 35 lfe2m35se-6fn256c 140 1.2v -6 lead-free fpbga 256 com 35 lfe2m35se-7fn256c 140 1.2v -7 lead-free fpbga 256 com 35 part number i/os voltage grade package pins temp. luts (k) lfe2m50se-5fn900c 410 1.2v -5 lead-free fpbga 900 com 50 lfe2m50se-6fn900c 410 1.2v -6 lead-free fpbga 900 com 50 lfe2m50se-7fn900c 410 1.2v -7 lead-free fpbga 900 com 50 lfe2m50se-5fn672c 372 1.2v -5 lead-free fpbga 672 com 50 lfe2m50se-6fn672c 372 1.2v -6 lead-free fpbga 672 com 50 lfe2m50se-7fn672c 372 1.2v -7 lead-free fpbga 672 com 50 lfe2m50se-5fn484c 270 1.2v -5 lead-free fpbga 484 com 50 lfe2m50se-6fn484c 270 1.2v -6 lead-free fpbga 484 com 50 lfe2m50se-7fn484c 270 1.2v -7 lead-free fpbga 484 com 50 part number i/os voltage grade package pins temp. luts (k) lfe2m70se-5fn1152c 436 1.2v -5 lead-free fpbga 1152 com 70 lfe2m70se-6fn1152c 436 1.2v -6 lead-free fpbga 1152 com 70 lfe2m70se-7fn1152c 436 1.2v -7 lead-free fpbga 1152 com 70 lfe2m70se-5fn900c 416 1.2v -5 lead-free fpbga 900 com 70 lfe2m70se-6fn900c 416 416 -6 lead-free fpbga 900 com 70 lfe2m70se-7fn900c 416 416 -7 lead-free fpbga 900 com 70
5-24 ordering information lattice semiconductor latticeecp2/m family data sheet part number i/os voltage grade package pins temp. luts (k) lfe2m100se-5fn1152c 520 1.2v -5 lead-free fpbga 1152 com 100 lfe2m100se-6fn1152c 520 1.2v -6 lead-free fpbga 1152 com 100 lfe2m100se-7fn1152c 520 1.2v -7 lead-free fpbga 1152 com 100 lfe2m100se-5fn900c 416 1.2v -5 lead-free fpbga 900 com 100 lfe2m100se-6fn900c 416 1.2v -6 lead-free fpbga 900 com 100 lfe2m100se-7fn900c 416 1.2v -7 lead-free fpbga 900 com 100
5-25 ordering information lattice semiconductor latticeecp2/m family data sheet industrial part number i/os voltage grade package pins temp. luts (k) lfe2m20se-5fn484i 304 1.2v -5 lead-free fpbga 484 ind 20 lfe2m20se-6fn484i 304 1.2v -6 lead-free fpbga 484 ind 20 lfe2m20se-5fn256i 140 1.2v -5 lead-free fpbga 256 ind 20 lfe2m20se-6fn256i 140 1.2v -6 lead-free fpbga 256 ind 20 part number i/os voltage grade package pins temp. luts (k) lfe2m35se-5fn672i 410 1.2v -5 lead-free fpbga 672 ind 35 lfe2m35se-6fn672i 410 1.2v -6 lead-free fpbga 672 ind 35 lfe2m35se-5fn484i 303 1.2v -5 lead-free fpbga 484 ind 35 lfe2m35se-6fn484i 303 1.2v -6 lead-free fpbga 484 ind 35 lfe2m35se-5fn256i 140 1.2v -5 lead-free fpbga 256 ind 35 lfe2m35se-6fn256i 140 1.2v -6 lead-free fpbga 256 ind 35 part number i/os voltage grade package pins temp. luts (k) lfe2m50se-5fn900i 410 1.2v -5 lead-free fpbga 900 ind 50 lfe2m50se-6fn900i 410 1.2v -6 lead-free fpbga 900 ind 50 lfe2m50se-5fn672i 372 1.2v -5 lead-free fpbga 672 ind 50 lfe2m50se-6fn672i 372 1.2v -6 lead-free fpbga 672 ind 50 lfe2m50se-5fn484i 270 1.2v -5 lead-free fpbga 484 ind 50 lfe2m50se-6fn484i 270 1.2v -6 lead-free fpbga 484 ind 50 part number i/os voltage grade package pins temp. luts (k) lfe2m70se-5fn1152i 436 1.2v -5 lead-free fpbga 1152 ind 70 lfe2m70se-6fn1152i 436 1.2v -6 lead-free fpbga 1152 ind 70 lfe2m70se-5fn900i 416 1.2v -5 lead-free fpbga 900 ind 70 lfe2m70se-6fn900i 416 1.2v -6 lead-free fpbga 900 ind 70 part number i/os voltage grade package pins temp. luts (k) lfe2m100se-5fn1152i 520 1.2v -5 lead-free fpbga 1152 ind 100 lfe2m100se-6fn1152i 520 1.2v -6 lead-free fpbga 1152 ind 100 lfe2m100se-5fn900i 416 1.2v -5 lead-free fpbga 900 ind 100 lfe2m100se-6fn900i 416 1.2v -6 lead-free fpbga 900 ind 100
september 2006 data sheet ds1006 ?2006 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the speci?ations and information herein are subject to change without notice. www.latticesemi.com 6-1 ds1006 further info_01.0 for further information a variety of technical notes for the latticeecp2/m family are available on the lattice web site at www.latticesemi.com . tn1124, latticeecp2m serdes/pcs usage guide tn1102, latticeecp2/m sysio usage guide tn1103, latticeecp2/m sysclock pll design and usage guide tn1104, latticeecp2/m memor y usage guide tn1105, latticeecp2/m high-speed i/o interf ace tn1106, p o w er estimation and management f or latticeecp2/m de vices tn1107, latticeecp2/m sysdsp usage guide tn1108, latticeecp2/m sysconfig usage guide tn1109, latticeecp2/m con gur ation encr yption usage guide tn1113, latticeecp2/m soft error detection (sed) usage guide tn1162, latticeecp2/m hardw are chec klist for further information about interface standards refer to the following web sites: jedec standards (lvttl, lvcmos, sstl, hstl): www .jedec.org pci: www .pcisig.com latticeecp2/m family data sheet supplemental information
january 2009 data sheet ds1006 ?2009 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the speci?ations and information herein are subject to change without notice. www.latticesemi.com 7-1 ds1006 revision history date version section change summary february 2006 01.0 initial release. august 2006 01.1 introduction updated table 1-1 ?atticeecp2 family selection guide architecture updated ?ure 2-2 ?fu diagram updated ?ure 2-13 ?econdary clock regions ecp2-50 updated ?ure 2-25 ?ic diagram updated ?ure 2-26 ?nput register block for left, right and bottom edges updated ?ure 2-28 ?utput register block for left, right and bottom edges updated ?ure 2-30 ?qs input routing for left and right edges updated ?ure 2-32 ?dge clock, dll calibration and dqs local bus distribution table 2-15 selectable master clock (cclk) frequencies removed fre- quencies 15,20,21,22,23,30,34,41,45,51,55,60 replaced ?lkindel with ?lko updated sed section quali?d device migration capability when using dqs banks for ddr interfaces dc and switching characteristics added vccpll to the recommended operating conditions table remove note 5 from ?ot speci?ations section added note 7 & 8 to ?nitialization supply current table change note 6 - ?..down to 95mhz to ?..down to 95mhz for ddr and 133mhz for ddr2 new ?ypical building block function performance numbers new external switching characteristics numbers new internal switching characteristics numbers new family timing adders numbers updated timings for gplls, splls and dlls added syscon? waveforms. remove hstl15d_ii from sysio recommended operating condition ta b l e updated supply and initialization currents for ecp2-50 pinout information added vccpll to the signal descriptions table updated logic signal connections tables to include 484-fpbga for the ecp2-50. added logic signal connections tables for ecp2-12 devices. updated pin information summary table to include ecp2-12. updated power supply and nc connections table to include ecp2-12. added note 2 to ddr strobe (dqs) pin table added information on: pci, ddr & spi4.2 capabilities of the device- package combination latticeecp2/m family data sheet revision history
7-2 revision history lattice semiconductor latticeecp2/m family data sheet august 2006 (cont.) 01.1 (cont.) pinout information (cont.) added information on: available device resources per packaged device table ordering information updated ordering part number table to include ecp2-12. updated topside mark drawing september 2006 02.0 multiple added information regarding latticeecp2m support throughout. september 2006 02.1 dc and switching characteristics added receiver total jitter tolerance speci?ation table. removed power-up requirements for proper con?uration footnote in recommended operating conditions table. december 2006 02.2 introduction latticeecp2m selection guide table has been updated. architecture figure 2-16. per region secondary clock selection has been updated. figure 2-39. simpli?d channel block diagram for serdes and pcs has been updated. dc and switching footnotes have been added to recommended operating conditions dc electrical characteristics table has been updated. supply current (standby) tables have been updated. initialization supply current table have been updated. updated timing numbers to include lfe2-12e (rev a 0.08) pinout information updated to include the entire ecp2 device information as well as 256- fpbga and 484-fpbga pin information for the ecp2m35e. ordering information updated to include the entire ecp2 and ecp2m device ordering infor- mation. february 2007 02.3 architecture updated ebr asynchronous reset section. march 2007 02.4 dc and switching characteristics power-sequencing footnotes have been added to the recommended operating conditions. ddr2 performance has been updated to 266mhz. march 2007 02.5 introduction added ?ecurity series to the latticeecp2 and latticeecp2m families. architecture enhanced con?uration option section updated. dc and switching recommended operating conditions table - footnote 4 updated. ordering information ?ecurity series ordering part numbers added. april 2007 02.6 introduction latticeecp2m family table has been updated for user i/o counts. ordering information latticeecp2m family ordering part number section has been updated to add 1152-fpbga package for the ecp2m70 and ecp2m100. july 2007 02.7 architecture updated text in ripple mode section. dc and switching ecp2/m supply current information has been updated. typical building block function performance, external switching char- acteristics, internal switching characteristics, family timing adders, sysclock gpll timing, sysclock spll timing, dll timing and sysconfig port timing speci?ations have been updated (timing rev. a 0.10). serdes timing information has been updated. pci express timing information has been updated. pinout information added latticeecp2m20 pinout information. august 2007 02.8 introduction 1156-fpbga package option has been removed from the latticeecp2m family. architecture table 2-16. selectable master clock (cclk) frequencies during con- ?uration table has been updated. dc and switching supply current (standby) table has been updated. dsp function timing has been updated. date version section change summary
7-3 revision history lattice semiconductor latticeecp2/m family data sheet august 2007 (cont.) 02.8 (cont.) dc and switching (cont.) sysclock gpll timing has been updated. pinout information added ecp2m50 (484/672/900-fpbga), ecp2m70 (900-fpbga) and ecp2m100 (900-fpbga) pinout information. ordering information 1156-fpbga package option has been removed from the latticeecp2m family. september 2007 02.9 pinout information added thermal management text section. february 2008 03.0 architecture added lvcmos33d description. dc and switching latticeecp2m supply current has been updated. typical building block function performance, external switching characteristics, internal switching characteristics, family timing adders, sysclock gpll timing, sysclock spll timing, dll tim- ing and sysconfig port timing speci?ations have been updated (tim- ing rev. a 0.11). figure 3-9. read/ w rite mode (normal) and figure 3-10. read/ w rite mode with input and output registers have been updated. table 3-8. channel output jitter (max) has been updated. pinout information signal description has been updated. added 1152-fpbga pinouts for the ecp2m70 and ecp2m100. april 2008 03.1 pinout information available ddr interfaces per i/o bank for the lfe2m35 (484/672- fpbga) have been updated. june 2008 03.2 introduction family selection guide table - updated number of ebr sram blocks for the ecp2-70 device. architecture removed read-before- w rite sysmem ebr mode. clari?ation of the operation of the secondary clock regions. dc and switching characteristics removed read-before- w rite sysmem ebr mode. august 2008 03.3 architecture clari?ation of the operation of the secondary clock regions. pinout information added information for [loc]dq[num] to signal descriptions table. january 2009 03.4 dc and switching characteristics updated typical and max. jitter numbers in channel output jitter table for x10 mode. added channel output jitter table for x20 mode. date version section change summary


▲Up To Search▲   

 
Price & Availability of LFE2-12SE-5QN208I

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X